JPS6110240A - 半導体素子の製造方法 - Google Patents

半導体素子の製造方法

Info

Publication number
JPS6110240A
JPS6110240A JP60135204A JP13520485A JPS6110240A JP S6110240 A JPS6110240 A JP S6110240A JP 60135204 A JP60135204 A JP 60135204A JP 13520485 A JP13520485 A JP 13520485A JP S6110240 A JPS6110240 A JP S6110240A
Authority
JP
Japan
Prior art keywords
dielectric layer
layer
metal
region
polymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP60135204A
Other languages
English (en)
Other versions
JPH0834772B2 (ja
Inventor
Eru Baaton Donarudo
ドナルド・エル・バートン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hewlett Packard Japan Inc
Original Assignee
Yokogawa Hewlett Packard Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yokogawa Hewlett Packard Ltd filed Critical Yokogawa Hewlett Packard Ltd
Publication of JPS6110240A publication Critical patent/JPS6110240A/ja
Publication of JPH0834772B2 publication Critical patent/JPH0834772B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、集積回路製造において、半導体つ工−ハ上の
導電層間の絶縁膜を平坦化する方法に関する。
〔従来技術とその問題点〕
シリコンチップ上の回路密度を増加させるためには、単
一チップ上の多数の集積シリコン素子間の相互接続hヒ
カの改善を必要としてきた。集積回路内のアクティブ領
域の寸法上の制限によって、多層配線による垂直方向の
相互接続が必要となってきた。回路密度が高くなり、素
子寸法が小さくなると、満足できる歩留りと信頼度を得
るには、従来の多層配Ivj!構造にとって素子の形状
(地杉)が非常に1要となる。金属相互接続層(導電体
パターン)間の絶縁膜を平坦化することによって、金属
バターニング及び段差被覆性(ステップカバレージ)が
改善されることが判明している。この金属バターニング
と段差被覆性の問題を改善すると、LSI及びVLS 
I回路の多層配線に使用可能となる。
最近では、次のレベルのメタライゼーションのための平
面を形成するためにポリイミド系樹脂が使用されている
。このことについては、” Journalof th
e EIectrochemical 3oc+ety
 ’ 、 1983年volume  I 30 、N
o 、 5 K記載されている。しかし、ポリイミド系
樹脂を使用する欠点は、+面化処理のため罠、比較的厚
いポリイミド層(例えば2.0ミクロン)を使用せねば
ならないことである。この厚さで小さな通路(3,0ミ
クロン未満)をエッチすることは難かしいし、これらの
深い通路孔を金属で覆うのは更に困難である。更に、ポ
リイミドがウェーハに固着すること、及び後続の膜がポ
リイミドに固着する点も問題であり、これは、潜在的に
歩留りと信頼性の問題を発生する。
他の平坦化技術については、  1982年度VLS 
I技術シンポジウムで発表された” A New Ap
plicationof  REE   toplan
arization   and  Edge  l(
ounding  ofSiCh Ho1e in t
he AI Multi −1evelInterco
nnection 、nという文献に記載されている。
この技術では、金属化の次レベル用の平坦面を得るため
に、反応性イオンエツチング(RIE)が使用される。
5102とSiNの層は、第1金属化レベル上に蒸着さ
れる。次に、SiNとSiO2を同じ速度でエッチする
CF4+H2を用いてRIBを行う。
SIN が完全に除去されるとエッチ処理は停止する。
RIE、の後に1.0ミクロン厚のS i O2が蒸着
される。このプロセスの限界は、ステップ間隔が近接し
ている所(即ち、2.0ミクロン未満)でのみ平面化処
理が行われることである。従って、互いに近接していな
いステップは、該平面化技術の対象外となる。更に、平
面化に続いて、別の二酸化硅素蒸着が必要であり、これ
によって処理時間とその複雑度が増加する。
さらに、”5olid 5tate Tecbnolo
gy ”、 1981 。
4月号に’ plasma planarizatio
n”と題して発表された平向化技術は、後にレジスト層
で被覆される第ルベル金属の上にS i 02の単一層
を蒸着することを開示し゛〔いる。レジスト表面は殆ん
ど平坦であるので、レジストは金属ステップ上でより薄
くなる。次に、プラズマエツチングを、レジスト及び絶
縁層に対して等l−い速度で実行する。パターン処理さ
れないレジストがエッチされるKつれ、金属ステップの
頂部上の絶縁体材料は除去されるが、金属ステップ間の
絶縁体はレジストによって保護される。全てのレジスト
が除去された時点でエツチングを停止することによって
、次レベル金属に対1−て、はぼ平坦な表面を与えるこ
とができる。しかしながらこのプロセスの欠点は、実際
の集積回路では、下部の地形(形状)の由に。
全ての金属ステップが同じ高さであるとは限らず、従っ
て、高いステップを形成する金属線上の絶縁体(例えば
、ポリシリコン上の金属)は、より低いステップを形成
する金属線上の絶縁体(例えば、高部領域上の金属)よ
りもずっと薄くなることである。このために、金属レベ
ル(金属層ン間の最小絶縁体層厚さ、下部の形状と、中
面化エツチングかどれ位良く制御されるかに依存する。
これによって、該フロセスは、金属間のショートに対し
て極めて絆感になる。更に、このプロセスでは、金属層
間の電気的絶縁体となるべき誘電体をエツチングが侵す
ので、ピンホールを発生することもあり、これによって
、金属層間にショートが生ずる。
〔発明の目的〕
本発明は、集積回路製造に使用される半導体ウェーハ上
への、多層配線された金属−絶縁体構造において、平面
化絶縁属を形成する方法を提供することである。
〔発明の概要〕
下部の形状に従521115]の誘電体層が、集積回路
に必9 t、cパターンと層を有する半導体ウェー・・
、ヒに蒸着される。第1誘電体層は、金属層(導電体パ
ターン)間の主要電気絶縁体である。該第1誘電体層の
厚さと誘電率は、金属層間の最大容量を決定する。第2
訪電体層の目的は、第ルベル金属線間の溝を満たイこと
である。第2訪電体層は、lP坦化されるべき下部地形
の最も高いステップ(金属、ポリシリコン、及び高部の
各ステップ)の高さに近い厚さに蒸着される。第2酵電
体層の性質は、第1訪電体層よりも、本質的に速い速度
でエツチングされ得ることである。次K、ウェーハ上に
有機ポリマーがその上部面がほぼ平坦になるようにスピ
ナな用いて蒸着される。この構造は続いて、該有機ポリ
マーと第2肪電体層のエツチング速度がほぼ等しくなる
条件下でプラズマエッチされる。パターン処理されてい
ないポリマーが除去されると、金属ステップ上の第2訪
電体層がプラズマエッチのために露出される。該ポリマ
ーと第2誘電体層のエツチング速度は等しいので、第2
wj電体層はステップの上部から除かれる。第2訪電体
層は、ステップ間ではポリマーで保護されているのでエ
ツチングされない。全てのポリマーがほぼ本質的に除去
されるとエツチングが終了する。
〔発明の実施例〕
第1A図から第1D図は本発明による半導体素子の製造
方法の実施例を示した断面図である。つ工−ハ100上
に、典型的にはアルミニウムの、代表的厚さd3=0.
755ミフロン±l%を有する金属ステップ(導電体パ
ターン)200がスパタリングやドライエツチング等の
従来の蒸着(デポジション)とエツチング技法を用いて
形成される。集積回路内には下部形状があり、従って、
相対的ステップ高200が影響を受けるが、これによっ
て本発明の平坦化70セスは変化を受けないし、従って
、このことは回内のウェーハ100から除いである。第
1誘電体層300はウェーI+00と金属ステップ20
0の上に、化学蒸着CCVD)又はプラズマCVD(P
ECVD)等の従来技法で蒸着される。
第1誘電体層300は典型的罠はPECvDシリコンオ
キシナイトライドで、代表的屈折率1.51±0.3、
及び典型的厚さdl=0.7ミクロン±lO% を有す
る。二酸化硅素等の他の材料も第1誘電体層300とし
て使用され得る。次K、第2訪電体層400が第1N電
体層300の上に蒸着される。第2誘電体層は典型的に
はPECVD硅素窒化物で、代表的屈折率2.03±0
.5、典型的厚さd2=0.855ミフロン±1%を有
する。領域404内に位置する第2誘電体層4()0の
厚さd2は、領域406内の金属ステップ200の厚さ
d3にほぼ等しいかそれ以上である。後述するように、
d3は概ねd2に等しくそして第1誘電体層はほぼ、金
属ステップ200の形状に従5 ノ)で、エツチング後
には、はぼ平坦な表面が、金属ステップ200−ヒのd
Xl訪電体層300と、領域404内の第2誘電体層4
00にかけて形成される。
第18図は、第1A図の構成を更に処理した段階の断面
図を示す。有機ポリーマ一層456は第2誘電体層40
0の上に被覆され、はぼ平坦なポリマー表面455を形
成する。ポリマー被覆450(代表的には、Ameri
can 1(oecbst Corp 、から入手でき
るAZ  1350J−8Fポジ形フオトレジスト)は
、50秒間、120’Cで、暑い板上で、焼付けられる
。該構成は、0.25)−ルと0.5トールの分圧のS
F’6と02の混合ガス内でTegal 701プラズ
マエソチヤ(T egal Corp 、から入手可能
)を使用してエツチングされる。SFsと02の分圧は
、有機ポリマー被覆450と第2肪電層400がほぼ同
じ速度でエッチされるように選択される。代表的には、
有機ポリマー被8L450と第2誘電体層400のエッ
チ速度は、毎秒当り2toi〜z3o’1.c選択され
るが、5〜500 X/S  のエッチ速度も有効に使
用できる。先ずポリマー被覆450のみが除去される。
エツチングが進むと、領域407内のステップ上のポリ
マー被覆450が除かれ、第1C図に示すように、この
領域内の第2誘電体層400が現われる。
この時点では、ポリマー450は、領域452内に示す
溝部をなお満している。エツチングが更に続くと、領域
407内の第2誘電体層400と、領域452内のポリ
マー450がエッチされる。
第1D図に更に示すように、’[’egal 701プ
ラズマエツチヤに使用可能な6スロープモード(slo
pemode )”を使用して決定できるように、領域
404′内のポリマー被覆450のほぼ全てが除去され
るまで、エツチングが続く。領域463内のステップ間
の第1−血体層300上の第2誘電体層400は、はぼ
全てが残される。従って、領域463内の第2訪電体層
400の頂部は、領域408内の第1誘電体層300の
頂部とほぼ同じ高さとなり、はぼ平坦な表面を形成する
上述(−た方法を使用した場合、第1誘電体層300の
エッチ速度は、代表的には、38〜46 AL/ Sの
範囲で選択されるが、l−+ooX/sの間で効果的に
選択することもできる。従って、第1誘電体層300の
エッチ速度は、第2誘電体層400のエッチ速度よりも
本質的に低く選択され、典型的には、5倍の遅さに選択
される。第1誘電体層300の工ノチ速度が相対的に非
常に低いこと、及び、該層はエッチプロセスの最終段階
でのみ露出されるので、第1誘電体層300は、僅かに
エッチされるだけであって(例えば、300A程度)、
第1D図に示すようK、厚さdiは本質的には変化しな
い。
〔発明の効果〕
上述の説明より明らかなように第1誘電体層300は本
質的に元のまま残り、不均一性とビンボール形成の問題
は減少する。更に、有機ポリマーを用いて平坦な基準面
455を提供するので、平坦化は下部にあるステラ12
000間隔にだ右されない。
【図面の簡単な説明】
第+A図から第1D図は本発明の一実施例による半導体
素子の製造方法を示l−た工程図である。 100 :ウエハ、  200:金属配線、  300
:第1誘電体層、  400:第2誘電体層、  45
0:ポリマー。

Claims (1)

    【特許請求の範囲】
  1. その上に導電体パターンが形成された基板上に第1誘電
    体層を形成し、次に前記第1誘電体層上に第2誘電体層
    を形成し、前記第2、第1誘電体層を順次エッチングす
    る工程より成り、前記導電体パターン間の溝部上の前記
    第2誘電体層の厚さを前記導電体パターンの厚さに等し
    いかそれ以上に選択したことを特徴とする半導体素子の
    製造方法。
JP60135204A 1984-06-20 1985-06-20 半導体素子の製造方法 Expired - Lifetime JPH0834772B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/622,439 US4545852A (en) 1984-06-20 1984-06-20 Planarization of dielectric films on integrated circuits
US622439 1984-06-20

Publications (2)

Publication Number Publication Date
JPS6110240A true JPS6110240A (ja) 1986-01-17
JPH0834772B2 JPH0834772B2 (ja) 1996-03-29

Family

ID=24494176

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60135204A Expired - Lifetime JPH0834772B2 (ja) 1984-06-20 1985-06-20 半導体素子の製造方法

Country Status (2)

Country Link
US (1) US4545852A (ja)
JP (1) JPH0834772B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014053502A (ja) * 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4708767A (en) * 1984-10-05 1987-11-24 Signetics Corporation Method for providing a semiconductor device with planarized contacts
JPH0642482B2 (ja) * 1984-11-15 1994-06-01 株式会社東芝 半導体装置の製造方法
US4621045A (en) * 1985-06-03 1986-11-04 Motorola, Inc. Pillar via process
US4715109A (en) * 1985-06-12 1987-12-29 Texas Instruments Incorporated Method of forming a high density vertical stud titanium silicide for reachup contact applications
US4662064A (en) * 1985-08-05 1987-05-05 Rca Corporation Method of forming multi-level metallization
US4666553A (en) * 1985-08-28 1987-05-19 Rca Corporation Method for planarizing multilayer semiconductor devices
FR2587838B1 (fr) * 1985-09-20 1987-11-27 Radiotechnique Compelec Procede pour aplanir la surface d'un dispositif semi-conducteur utilisant du nitrure de silicium comme materiau isolant
FR2588417B1 (fr) * 1985-10-03 1988-07-29 Bull Sa Procede de formation d'un reseau metallique multicouche d'interconnexion des composants d'un circuit integre de haute densite et circuit integre en resultant
US4654120A (en) * 1985-10-31 1987-03-31 International Business Machines Corporation Method of making a planar trench semiconductor structure
US4818723A (en) * 1985-11-27 1989-04-04 Advanced Micro Devices, Inc. Silicide contact plug formation technique
US4654121A (en) * 1986-02-27 1987-03-31 Ncr Corporation Fabrication process for aligned and stacked CMOS devices
US4676868A (en) * 1986-04-23 1987-06-30 Fairchild Semiconductor Corporation Method for planarizing semiconductor substrates
US4658495A (en) * 1986-04-28 1987-04-21 Rca Corporation Method of forming a semiconductor structure
US4676867A (en) * 1986-06-06 1987-06-30 Rockwell International Corporation Planarization process for double metal MOS using spin-on glass as a sacrificial layer
JPS6310544A (ja) * 1986-07-02 1988-01-18 Oki Electric Ind Co Ltd 半導体集積回路の製造方法
FR2608839B1 (fr) * 1986-12-23 1989-04-21 Labo Electronique Physique Procede de realisation d'interconnexions et de croisements entre niveaux de metallisation d'un circuit integre
JPS63202067A (ja) * 1987-02-17 1988-08-22 Mitsubishi Electric Corp 半導体装置の製造方法
US4789646A (en) * 1987-07-20 1988-12-06 North American Philips Corporation, Signetics Division Company Method for selective surface treatment of semiconductor structures
NL8701717A (nl) * 1987-07-21 1989-02-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting met een geplanariseerde opbouw.
US4783238A (en) * 1987-07-31 1988-11-08 Hughes Aircraft Company Planarized insulation isolation
US4960727A (en) * 1987-11-17 1990-10-02 Motorola, Inc. Method for forming a dielectric filled trench
US4879257A (en) * 1987-11-18 1989-11-07 Lsi Logic Corporation Planarization process
US4997781A (en) * 1987-11-24 1991-03-05 Texas Instruments Incorporated Method of making planarized EPROM array
JPH063804B2 (ja) * 1988-01-21 1994-01-12 シャープ株式会社 半導体装置製造方法
GB2216336A (en) * 1988-03-30 1989-10-04 Philips Nv Forming insulating layers on substrates
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
US4986878A (en) * 1988-07-19 1991-01-22 Cypress Semiconductor Corp. Process for improved planarization of the passivation layers for semiconductor devices
IT1225624B (it) * 1988-10-20 1990-11-22 Sgs Thomson Microelectronics Procedimento per formare contatti metallo-semiconduttore autoallineatiin dispositivi integrati contenenti strutture misfet
IT1225623B (it) * 1988-10-20 1990-11-22 Sgs Thomson Microelectronics Formazione di contatti autoallineati senza l'impiego di una relativa maschera
US5120571A (en) * 1988-11-10 1992-06-09 Texas Instruments Incorporated Floating-gate memory array with silicided buried bitlines and with single-step-defined floating gates
EP0372644B1 (fr) * 1988-12-09 1995-05-03 Laboratoires D'electronique Philips Procédé de réalisation d'un circuit intégré incluant des étapes pour réaliser des interconnexions entre des motifs réalisés à des niveaux différents
DE3842246C2 (de) * 1988-12-15 1996-02-01 Siemens Ag Verfahren zur exakten Ausfüllung einer wannenartigen Vertiefung
US4996165A (en) * 1989-04-21 1991-02-26 Rockwell International Corporation Self-aligned dielectric assisted planarization process
KR920004028B1 (ko) * 1989-11-20 1992-05-22 삼성전자 주식회사 반도체 장치 및 그 제조방법
US4978420A (en) * 1990-01-03 1990-12-18 Hewlett-Packard Company Single chamber via etch through a dual-layer dielectric
US5066357A (en) * 1990-01-11 1991-11-19 Hewlett-Packard Company Method for making flexible circuit card with laser-contoured vias and machined capacitors
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
JPH0645327A (ja) * 1991-01-09 1994-02-18 Nec Corp 半導体装置の製造方法
US5256587A (en) * 1991-03-20 1993-10-26 Goldstar Electron Co., Ltd. Methods of patterning and manufacturing semiconductor devices
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
US5393373A (en) * 1991-07-11 1995-02-28 Goldstar Electron Co., Ltd. Methods of patterning and manufacturing semiconductor devices
KR950009740B1 (ko) * 1991-11-12 1995-08-26 금성일렉트론주식회사 메모리 캐패시터 제조방법 및 그 구조
EP0557098B1 (en) * 1992-02-20 1998-04-29 Matsushita Electronics Corporation Solid-State Image Pick-up Device and Method of manufacturing the same
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
TW243544B (ja) * 1992-12-31 1995-03-21 At & T Corp
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5795495A (en) * 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
JPH0936308A (ja) * 1995-07-14 1997-02-07 Matsushita Electron Corp 半導体装置の製造方法
KR100211540B1 (ko) * 1996-05-22 1999-08-02 김영환 반도체소자의 층간절연막 형성방법
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6331481B1 (en) 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
KR100463057B1 (ko) * 2002-11-08 2004-12-23 현대자동차주식회사 에어컨 냉매의 유동 소음 저감장치
US8367534B2 (en) * 2010-09-17 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniformity reduction in semiconductor planarization
US20150200111A1 (en) * 2014-01-13 2015-07-16 Globalfoundries Inc. Planarization scheme for finfet gate height uniformity control
US20150206794A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Removing Micro Scratches In Chemical Mechanical Polishing Processes
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
US10879108B2 (en) * 2016-11-15 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Topographic planarization method for lithography process
CN107833832A (zh) * 2017-09-22 2018-03-23 叶顺闵 一种氮化镓器件平坦化制程工艺

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5773940A (en) * 1980-10-28 1982-05-08 Toshiba Corp Levelling method of insulation layer
JPS605527A (ja) * 1983-06-24 1985-01-12 Agency Of Ind Science & Technol 半導体装置の平坦化方法
JPS60115234A (ja) * 1983-11-28 1985-06-21 Toshiba Corp 半導体装置の製造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0049400B1 (en) * 1980-09-22 1984-07-11 Kabushiki Kaisha Toshiba Method of smoothing an insulating layer formed on a semiconductor body

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5773940A (en) * 1980-10-28 1982-05-08 Toshiba Corp Levelling method of insulation layer
JPS605527A (ja) * 1983-06-24 1985-01-12 Agency Of Ind Science & Technol 半導体装置の平坦化方法
JPS60115234A (ja) * 1983-11-28 1985-06-21 Toshiba Corp 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014053502A (ja) * 2012-09-07 2014-03-20 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
US4545852A (en) 1985-10-08
JPH0834772B2 (ja) 1996-03-29

Similar Documents

Publication Publication Date Title
JPS6110240A (ja) 半導体素子の製造方法
US4470874A (en) Planarization of multi-level interconnected metallization system
US4789648A (en) Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
EP0667036B1 (en) Chip interconnection having a breathable etch stop layer
US6051882A (en) Subtractive dual damascene semiconductor device
EP0129476B1 (en) Planar interconnection for integrated circuits
US20020076918A1 (en) Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US5665657A (en) Spin-on-glass partial etchback planarization process
JPH033383B2 (ja)
JPH0360055A (ja) 集積回路の製造方法
US5109267A (en) Method for producing an integrated circuit structure with a dense multilayer metallization pattern
US4666553A (en) Method for planarizing multilayer semiconductor devices
JPH01503021A (ja) シリコンウエハ内に貫通導体を形成する為の平担化方法
JPH0563940B2 (ja)
US5935876A (en) Via structure using a composite dielectric layer
US6413438B1 (en) Method of forming via hole by dry etching
JPH10163198A (ja) 半導体装置およびその製造方法
US6340638B1 (en) Method for forming a passivation layer on copper conductive elements
KR100352304B1 (ko) 반도체 장치 및 그 제조 방법
JPH0917860A (ja) 半導体素子における配線構造とその製造方法
JPS60115234A (ja) 半導体装置の製造方法
JPH098007A (ja) 絶縁膜の平坦化方法
JP2003023067A (ja) ビアメタル層の形成方法およびビアメタル層形成基板
JPH0273652A (ja) 半導体装置の製造方法
JPH0758204A (ja) 半導体装置の製造方法