JPS59217328A - 集積回路装置の製造方法 - Google Patents

集積回路装置の製造方法

Info

Publication number
JPS59217328A
JPS59217328A JP59005865A JP586584A JPS59217328A JP S59217328 A JPS59217328 A JP S59217328A JP 59005865 A JP59005865 A JP 59005865A JP 586584 A JP586584 A JP 586584A JP S59217328 A JPS59217328 A JP S59217328A
Authority
JP
Japan
Prior art keywords
layer
silicon
metal
polysilicon
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP59005865A
Other languages
English (en)
Other versions
JPH0412612B2 (ja
Inventor
スタンレー・ロバーツ
フランシス・ロジャー・ホワイト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS59217328A publication Critical patent/JPS59217328A/ja
Publication of JPH0412612B2 publication Critical patent/JPH0412612B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/084Ion implantation of compound devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/951Lift-off

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔発明の技術分野〕 本発明は集積回路装置に用いる多結晶シリサイド構造体
の製造方法に係る。更に具体的に云うと、本発明はシリ
サイド及び多結晶シリコンをエツチングし、リフト・オ
フ技法によって付着させた反応性イオン・エツチング・
マスクを用いて出来た構造体の幾何学的パターン即ちジ
オメトリを画成するための新規な改良した方法に係る。
〔背景技術〕
以下の於てポリシリコンと称する多結晶シリコンは温度
安定性が大きく、自己パッシベーションによって安定し
た絶縁層を与える能力がある事からして半導体技術に於
て用いられてきた。ドープト・ポリシリコンは特に自己
パッシベーションの可能な導電相を必要とする応用面に
於て電極及び相互結線材として用いられてきた。しかし
ながら、デバイス寸法の微細化によってポリシリコン線
の抵抗はデバイスの性能を相当損うレベルにまで増大す
る。この問題を緩和し、寸法は微小化するがシート抵抗
は低抵抗に維持される電極及び相互結線を有する高密度
の集積回路(IC)を製造するために、その様な応用面
に用いるための異ったタイプの金属シリサイドが開発さ
れた。金属シリサイドは種々の電極及び相互結線の応用
に於てドープト・ポリシリコン層と共にあるいは該層を
用いないで使用できる。シリサイドはその低シート抵抗
率に加えて自己パッジバージョンの能力を有し、シリサ
イドの露出表面を酸化雰囲気にさらすと該表面上に二酸
化シリコンの層が形成される。ドープト・ポリシリコン
と金属シリサイドとの合成導線はポリサイド(poly
cide)と称せられる。ポリサイド構造体は高導電率
及び寄生容量の減少といった組合された利点を有する。
〔発明の目的及び概要〕
本発明の目的は改善された導線の鮮鋭度(画成度)及び
線幅制御性を有する高導電性のポリサイド構造体の製造
方法を提供する事である。
本発明の他の目的はポリサイド構造体に於ける全ての素
子が均一な線幅を有するポリサイド構造体を形成する方
法を提供する事である。
本発明の更に他の目的は蒸発性のリフト・オフ技法に適
合したポリサイド構造体を形成するための方法を提供す
る事である。
本発明の他の目的はシリサイドを蒸発性リフト・オフ技
法によって形成し、下層のポリシリコン層を、RIEエ
ツチングの際に腐食せず、汚れを残す事なくそのエツチ
ング・ステップによって除去する事が出来、そしてポリ
サイド構造体に於ける成分材料に影響を与えないマスク
を用いる反応性イオン・エツチング・プロセスによって
形成する、ポリサイド構造体の形成方法を提供する事で
ある。
本発明の教示に従って、半導体基板上に絶縁材料層を形
成し、絶縁層の上にポリシリコンの層を形成し、そして
ポリシリコン層の上にフォトレジスト材の層を付着する
プロセスを含むポリサイド構造体を形成する改良された
方法が提供される。
フォトレジスト層に所望のパターンを形成して下層のポ
リシリコン層の部分を露出させる。ポリシリコン層の露
出した部分にシリコン及びシリサイド形成金属を同時イ
」着する事によって合成された金属−シリコン層を形成
する。合成金属−シリコン層の上にシリコンの層を付着
し、このシリコン層の上に適当な拡散バリヤ層、例えば
タングステン、を設ける。耐火金属の層をドライ・エツ
チング・マスクとして用いるために、拡散バリヤ層の上
に付着させる。この金属はドライ・エツチングの際に用
いる温度範囲に於て揮発性のハロゲン化物を形成しない
耐火金属の群から選択される。揮発性のハロゲン化物を
形成させない事によって、その材料はドライ・エツチン
グ・マスクとして働らく。
更にこの金属はシリコンもしくはシリコンを含有する化
合物をエツチングしないエツチング剤を用いてウェット
・エツチングする事によって除去できる事が必要である
。コバルト、ニッケル、鉄もしくはマンガンの様な金属
がその様な特性を有する。次にフォトレジスト・マスク
及びこのマスク上の過剰材料を除去するためのリフト・
オフによって及び合成金属−シリコン層の金属及びシリ
コンを反応させて、金属シリサイド層を形成する反応ア
ニーリング工程を行なう。ポリシリコン層の露出した部
分をドライ・工・ツチングで除去する。
次に金属及び隣接する拡散バリヤ層の除去及び金属シリ
サイド層を均質化するためのアニーリング工程を行なう
その代りに、ポリシリコン層の上にCVDの様な通常の
プロセスによってシリサイド層を付着し。
そのシリサイド層上にシリコン・キャツピング層を付着
する事によってポリサイド層を形成する事ができる。次
に、本発明に従って耐火金属よりなるドライ・エツチン
グ・マスクを用いて、付着された層を画成し、エツチン
グする。まず、シリコン層上にフォト・レジスト・マス
クを形成し、そしてドライ・エツチングの間保護すべき
シリコン層の部分の上に所定の金属を付着させるために
このマスクを用いる事によってドライ・エツチング・マ
スクを形成しうる。次にあらゆる過剰な材料を有するフ
オトレジス1〜・マスクを除去する。次にRIEの様な
ドライ・エツチングを用いてシリコン層の露出部分と、
シリサイド及びポリシリコン層の下方の部分とを除去す
る。金属マスクによって覆われたこれらの層の部分はド
ライ・エツチングの間保護される。この技法を用いると
シリサイド材はそのポリシリコン層上への付着の前に形
成されるので、ドライ・エツチングの前に反応アニーリ
ング工程を行なう必要がなく、また金属マスクをシリコ
ン層内へ拡散させる事ができる温度し1       
ベルまで構造体を加熱する必要がない。従って本発明を
実施するこの代替的技法を用いることによって、シリコ
ン層及び金属マスクの間に拡散バリヤ層を設ける事は不
必要である。
〔実施例〕
第1図を参照する。出来上った構造体の使用予定に依存
してN型もしくはP型の単結晶シリコンであってよい半
導体基板10が図示されている。
基板10の上には絶縁層12が設けられる。シリコン基
板を用いる場合、絶縁層12はシリコンの熱酸化によっ
て成長される二酸化シリコンの層であってもよい。もし
も出来たポリサイド構造体をゲート電極として用いるな
らば、この層12はゲート絶縁体となり、典型例として
200人ないし500人の厚さを有する。・ドープト・
ポリシリコンのブランケット層14は層12の上に設け
られる。このポリシリコン層は任意の適当な厚さでよい
が、典型例としては1000人ないし、4000人が好
ましい。第2図に於て、16はフォトレジスト層であっ
て、ポリシリコン層14の表面20の所定部分を露出さ
せる様に公知の技法によって開口18が形成されている
。開口18の形状及びフォトレジストの傾斜角度はリフ
ト・オフ技法に適合する様に設計する。第3図に於て、
開口18を介して及びフォトレジスト上に数層からなる
層を順次付着させた構造体を示す。ポリシリコン層14
の露出した部分の上に金属シリサイド層22が付着され
る。層22の厚さは概してポリシリコンM14の厚さに
依存する。典型例として、シリサイド層22の厚さは1
000人ないし4000人である層16の厚さに比肩し
うる厚さであるべきである。金属シリサイド層22の金
属はタングステン、チタン、ニオブ、モリブデン、タン
タル、イリジウムもしくはロジウムの様な耐火金属が好
ましい。金属シリサイド層22は金属及びシリコンの個
々のターゲットを電子ビームで加熱しつつ金属及びシリ
コンの同時蒸着の様な任意適当な技法によって付着させ
る事ができる。これは、まず金属−シリコン合成層を形
成し、この層は次のアニーリング工程に於て対応した金
属シリサイド層に変わる。次に、全体的にポリサイド構
造体の次の酸化作用を改善するために、キャツピング層
として働らく純粋のシリコン層24を層22の上に付着
させる。タングステンの層の様な薄い拡散バリヤ層26
を再び蒸着によってシリコン層24の上に付着させる。
この層の典型的な厚さは250人ないし500人である
。タングステン層26は、650℃ないし700 ”C
の温度より低い温度ではシリコン−キャツピング層24
と反応しない。薄い拡散バリヤ層26の上にマスキング
層28を付着する。このマスキング層は、ドライ・エツ
チングの環境に於ては揮発性のハロゲン化物を形成しな
い、構造体を汚染させる事なく構造体内に存在する他の
材料をエツチングしない化学物質でもってウェット・エ
ツチングしうる耐大金属で作られる。更に、このマスキ
ング材は拡散バリヤ層の材料と化学的に反応しない様に
該材料に適合したものでなければならない。その様な特
性を有する材料としてはニッケル、鉄、コバルト及びマ
ンガン等がある。金属マスク層28は例えばタングステ
ン層26の上にコバルトを蒸着させる事によって形成し
うる。次に全ての過剰材料と共にフォトレジスト層16
を通常の方法によりリフト・オフする工程によって除去
する。これによって第4図に示す構造体をうる。次に、
合成金属−シリコン層における金属及びシリコン、を反
応させて対応する金属シリサイドを形成するために50
0°Cないし600℃が好ましい温度において構造体を
低温反応アニーリングする事が必要である。この温度範
囲において、タングステンはシリコンと反応せず、コバ
ルト層及びシリコン層の間のタングステン層の故にコバ
ルトはシリコン内へは拡散し得ない。フット・オフ工程
に続いて、構造体をドライ・エツチング(RIEが好ま
しい)し、金属マスク28によって画成されたゲート領
域を除く全領域からポリシリコン層14を除去し、垂直
グー1〜電極側壁部を形成する。RIEはCF4+02
の様な雰囲気を用いて実施する事が出来る。この工程に
おいて、金属マスクがコバルトであるならば、コバルト
がCF4ど反応してコバルト・マスク28の上に弗化コ
バルト(Co F 2 )の薄層を形成する。このCo
 F 2の薄層はRIE工程中は揮発しないので残りの
コバルト層を腐食しない様に保護する。これによって、
ゲート電極の側壁が垂直となる事が保証される。このエ
ツチングはポリシリコン層14のマスクされない部分が
完全に除去さ、また時に停止する。即ちそれは第5図に
示される様に SiO2層12の表面に達した時に停止
する。次に、通常の化学クリーニング・プロセスを用い
て金属マスクを除去する。例えば、ICΩ、11202
及び 11□0の混合体によって、60℃ないし70℃
に於て約5分間でポリサイド構造体からコバルト、鉄、
ニッケルもしくはマンガンのマスクを除去する。次に、
例えばNH4OH,H2O2及び1120の混合体を用
いる・通常のウェット・エツチングによって60℃ない
し70℃に於て約5分間でタングステン層26も除去す
る事により、第6図に示す構造体をうる。通常のイオン
注入工程及び注入用マスクとしてゲート電極を用いる事
によって、基板に自己整合ソース及びドレイン領域−3
0及び32を形成しうる。これによって第7図の構造体
をうる。次に、シリサイド構造体を更に均質化するため
に950 ℃ないしl’100℃において均質化アニー
ルを行なう。
第8図において、本発明を実施するための代替方法を示
す。まず基板10上に絶縁層12を形成する。層I2の
上にポリシリコン層14を付着し、該層の上にCVDに
よって金属シリサイド層15を付着する。金属シリサイ
ド層15の上にシリコン・キャツピング層17を付着し
、該層の上にフオトレジス1〜・マスク19を形成する
。このフォトレジスト・マスクはリフト・オフ技法に適
合しうる様に形成される。コバルト、鉄、ニッケル及び
マンガンよりなる金属の群から選択した耐火金属層28
をシリコン層17の露出した部分へ開口21を介して付
着する。フォトレジスト・マスク19及びその上の過剰
の金属をリフト・オフにより除去し、構造体を例えばR
IE技術を用い、エツチング・マスクとして金属層28
を用いてドライ・エツチングする。これによって絶縁層
12の」二にポリサイド構造体をうる。次に第5図に関
して述べた化学エツチング剤を用いてそのマスクを除去
する。
【図面の簡単な説明】
第1図ないし第7図は本発明の一実施例を説明する図、
第8図は本発明の他の実施例を説明する図である。 10・・・・半導体基板、I2・・・・絶縁層、14・
・・・ポリシリコン層、16・・・・フ第1−レジスト
層、18・・・・開口、20・・・・ポリシリコン層の
表面、22・・・・金属シリサイド層、24・・・・シ
リコン層、2G・・・・拡散バリヤ層、28・・・・マ
スキング層。 出願人 インターナショナル・ビジネス・マシーンズ・
コーポレーション 代理人 弁理士 岡  1) 次  生(外1名)

Claims (1)

  1. 【特許請求の範囲】 絶縁層の上にポリシリコン層を付着し、上記ポリシリコ
    ン層の上に該層の所定領域を画成し、露出するフォトレ
    ジスト・マスクを形成し、上記ポリシリコン層の露出し
    た部分にシリコン及びシリサイド形成金属を付着して合
    成金属−シリコン層を形成し、 上記金属−シリコン層上にシリコンの層を付着し、 」二記シリコンの層上に拡散バリヤ層を付着し、ドライ
    ・エツチング環境に於てエツチングされない耐火金属で
    あって、シリコンもしくはシリコン含有化合物をエツチ
    ングしないエツチング剤を用いるウェット・エツチング
    によって除去しうる耐大金属よりなる群から選択した金
    属の層を上記拡散バリヤ層の上に付着し。 上記フォトレジスト・マスクを除去し、上記合成金属−
    シリコン層に於ける金属及びシリコンを反応させて金属
    シリサイド層を形成する様に以上の工程によって得た構
    造体を反応アニーリングし、 上記ポリシリコン層の露出した部分をドライ・エツチン
    グによって除去し、 上記金属の層及び上記拡散バリヤ層を除去し、上記金属
    シリサイド層を均質化するように、以上の工程によって
    得た構造体をアニーリングする事を含む集積回路装置の
    製造方法。
JP59005865A 1983-05-23 1984-01-18 集積回路装置の製造方法 Granted JPS59217328A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/497,372 US4470189A (en) 1983-05-23 1983-05-23 Process for making polycide structures
US497372 1983-05-23

Publications (2)

Publication Number Publication Date
JPS59217328A true JPS59217328A (ja) 1984-12-07
JPH0412612B2 JPH0412612B2 (ja) 1992-03-05

Family

ID=23976595

Family Applications (1)

Application Number Title Priority Date Filing Date
JP59005865A Granted JPS59217328A (ja) 1983-05-23 1984-01-18 集積回路装置の製造方法

Country Status (4)

Country Link
US (1) US4470189A (ja)
EP (1) EP0126424B1 (ja)
JP (1) JPS59217328A (ja)
DE (1) DE3483659D1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6362356A (ja) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp 半導体装置
JP2020107793A (ja) * 2018-12-28 2020-07-09 三菱電機株式会社 半導体装置の製造方法

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4636834A (en) * 1983-12-12 1987-01-13 International Business Machines Corporation Submicron FET structure and method of making
US4551906A (en) * 1983-12-12 1985-11-12 International Business Machines Corporation Method for making self-aligned lateral bipolar transistors
US4546535A (en) * 1983-12-12 1985-10-15 International Business Machines Corporation Method of making submicron FET structure
FR2571177B1 (fr) * 1984-10-02 1987-02-27 Thomson Csf Procede de realisation de grilles en siliciure ou en silicium pour circuit integre comportant des elements du type grille - isolant - semi-conducteur
US4612258A (en) * 1984-12-21 1986-09-16 Zilog, Inc. Method for thermally oxidizing polycide substrates in a dry oxygen environment and semiconductor circuit structures produced thereby
US4663191A (en) * 1985-10-25 1987-05-05 International Business Machines Corporation Salicide process for forming low sheet resistance doped silicon junctions
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
GB8710359D0 (en) * 1987-05-01 1987-06-03 Inmos Ltd Semiconductor element
US4974056A (en) * 1987-05-22 1990-11-27 International Business Machines Corporation Stacked metal silicide gate structure with barrier
JPH01120818A (ja) * 1987-09-23 1989-05-12 Siemens Ag 低伝達抵抗オーム接触の形成方法
JP2624797B2 (ja) * 1988-09-20 1997-06-25 株式会社日立製作所 アクティブマトリクス基板の製造方法
US4978637A (en) * 1989-05-31 1990-12-18 Sgs-Thomson Microelectronics, Inc. Local interconnect process for integrated circuits
US5077236A (en) * 1990-07-02 1991-12-31 Samsung Electronics Co., Ltd. Method of making a pattern of tungsten interconnection
KR920015622A (ko) * 1991-01-31 1992-08-27 원본미기재 집적 회로의 제조방법
US5334545A (en) * 1993-02-01 1994-08-02 Allied Signal Inc. Process for forming self-aligning cobalt silicide T-gates of silicon MOS devices
US6284584B1 (en) 1993-12-17 2001-09-04 Stmicroelectronics, Inc. Method of masking for periphery salicidation of active regions
US6107194A (en) * 1993-12-17 2000-08-22 Stmicroelectronics, Inc. Method of fabricating an integrated circuit
JP3045946B2 (ja) * 1994-05-09 2000-05-29 インターナショナル・ビジネス・マシーンズ・コーポレイション 半導体デバイスの製造方法
US5847463A (en) 1997-08-22 1998-12-08 Micron Technology, Inc. Local interconnect comprising titanium nitride barrier layer
JP3209164B2 (ja) * 1997-10-07 2001-09-17 日本電気株式会社 半導体装置の製造方法
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6235630B1 (en) * 1998-08-19 2001-05-22 Micron Technology, Inc. Silicide pattern structures and methods of fabricating the same
US6214713B1 (en) * 1998-10-19 2001-04-10 Promos Technology, Inc. Two step cap nitride deposition for forming gate electrodes
US6077750A (en) * 1998-10-27 2000-06-20 Lg Semicon Co., Ltd. Method for forming epitaxial Co self-align silicide for semiconductor device
KR100505449B1 (ko) * 1998-12-24 2005-10-14 주식회사 하이닉스반도체 반도체 소자의 폴리사이드 게이트 전극 형성방법
US6251777B1 (en) 1999-03-05 2001-06-26 Taiwan Semiconductor Manufacturing Company Thermal annealing method for forming metal silicide layer
US6475911B1 (en) * 2000-08-16 2002-11-05 Micron Technology, Inc. Method of forming noble metal pattern
DE10121240C1 (de) * 2001-04-30 2002-06-27 Infineon Technologies Ag Verfahren zur Herstellung für eine integrierte Schaltung, insbesondere eine Anti-Fuse, und entsprechende integrierte Schaltung
US7049245B2 (en) * 2003-09-12 2006-05-23 Promos Technologies, Inc. Two-step GC etch for GC profile and process window improvement
US7678704B2 (en) * 2005-12-13 2010-03-16 Infineon Technologies Ag Method of making a contact in a semiconductor device
US20070161246A1 (en) * 2006-01-10 2007-07-12 Texas Instruments Incorporated Process For Selectively Removing Dielectric Material in the Presence of Metal Silicide
TW200833871A (en) * 2006-11-17 2008-08-16 Sachem Inc Selective metal wet etch composition and process
CN110661170B (zh) * 2019-08-13 2021-01-08 深圳市矽赫科技有限公司 一种用于制造半导体器件隔离结构的方法及其半导体器件

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4180596A (en) * 1977-06-30 1979-12-25 International Business Machines Corporation Method for providing a metal silicide layer on a substrate
US4128670A (en) * 1977-11-11 1978-12-05 International Business Machines Corporation Fabrication method for integrated circuits with polysilicon lines having low sheet resistance
US4329706A (en) * 1979-03-01 1982-05-11 International Business Machines Corporation Doped polysilicon silicide semiconductor integrated circuit interconnections
JPS55165636A (en) * 1979-06-12 1980-12-24 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacture of semiconductor device
DE3045922A1 (de) * 1980-12-05 1982-07-08 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von strukturen von aus siliziden oder aus silizid-polysilizium bestehenden schichten durch reaktives sputteraetzen
US4352716A (en) * 1980-12-24 1982-10-05 International Business Machines Corporation Dry etching of copper patterns
US4362597A (en) * 1981-01-19 1982-12-07 Bell Telephone Laboratories, Incorporated Method of fabricating high-conductivity silicide-on-polysilicon structures for MOS devices
US4389257A (en) * 1981-07-30 1983-06-21 International Business Machines Corporation Fabrication method for high conductivity, void-free polysilicon-silicide integrated circuit electrodes
US4378628A (en) * 1981-08-27 1983-04-05 Bell Telephone Laboratories, Incorporated Cobalt silicide metallization for semiconductor integrated circuits
US4398341A (en) * 1981-09-21 1983-08-16 International Business Machines Corp. Method of fabricating a highly conductive structure
US4414057A (en) * 1982-12-03 1983-11-08 Inmos Corporation Anisotropic silicide etching process
US4411734A (en) * 1982-12-09 1983-10-25 Rca Corporation Etching of tantalum silicide/doped polysilicon structures

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6362356A (ja) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp 半導体装置
JP2020107793A (ja) * 2018-12-28 2020-07-09 三菱電機株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
JPH0412612B2 (ja) 1992-03-05
EP0126424A3 (en) 1988-01-13
US4470189A (en) 1984-09-11
EP0126424A2 (en) 1984-11-28
EP0126424B1 (en) 1990-11-28
DE3483659D1 (de) 1991-01-10

Similar Documents

Publication Publication Date Title
JPS59217328A (ja) 集積回路装置の製造方法
US4180596A (en) Method for providing a metal silicide layer on a substrate
JP3249524B2 (ja) 局部相互接続によるcmos技術の半導体装置製造方法
JP2007335891A (ja) 半導体デバイス
JPH07335674A (ja) Iii−v族半導体ゲート構造およびその製造方法
JPS5830162A (ja) 電極の形成方法
JP2757927B2 (ja) 半導体基板上の隔置されたシリコン領域の相互接続方法
JPS626351B2 (ja)
EP0077813B1 (en) Low resistivity composite metallization for semiconductor devices and method therefor
US4708904A (en) Semiconductor device and a method of manufacturing the same
US4551907A (en) Process for fabricating a semiconductor device
JP2553030B2 (ja) 集積回路構造体およびその製造方法
JPS61110449A (ja) 半導体装置の製造方法
US5624871A (en) Method for making electrical local interconnects
US5773344A (en) Semiconductor device having gate electrode and impurity diffusion layer different in conductivity type and method of manufacturing same
KR100207548B1 (ko) 반도체장치의 제조공정에서 게이트 전극 형성방법
KR20030029993A (ko) 잔류물 제거시 보조하기 위한 등방성 저항기 보호 식각
JPS627165A (ja) 半導体装置の製造方法
KR100648740B1 (ko) 트랜지스터용 게이트 구조체 및 그 제조 방법
JP3196241B2 (ja) 半導体装置の製造方法
US5179434A (en) Semiconductor device and manufacturing method thereof
US5686323A (en) Method of manufacturing a semiconductor device having an out diffusion preventing film
KR100340868B1 (ko) 반도체 소자의 게이트 전극 형성방법
JP3352246B2 (ja) 半導体装置及びその製造方法
JP3187020B2 (ja) 半導体装置の製造方法