JP2007335891A - 半導体デバイス - Google Patents

半導体デバイス Download PDF

Info

Publication number
JP2007335891A
JP2007335891A JP2007209745A JP2007209745A JP2007335891A JP 2007335891 A JP2007335891 A JP 2007335891A JP 2007209745 A JP2007209745 A JP 2007209745A JP 2007209745 A JP2007209745 A JP 2007209745A JP 2007335891 A JP2007335891 A JP 2007335891A
Authority
JP
Japan
Prior art keywords
gate electrode
metal
substrate
dielectric layer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007209745A
Other languages
English (en)
Inventor
Nguyen Bikuuen
ビク−エン・ニュエン
Olfemi Orourafue J
ジェイ・オルフェミ・オロウラフェ
Maichi Baikasu
バイカス・マイチ
Adchuchu Orubanmi
オルバンミ・アデチュチュ
Philip J Tobin
フィリップ・ジェイ・トビン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
Freescale Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Freescale Semiconductor Inc filed Critical Freescale Semiconductor Inc
Publication of JP2007335891A publication Critical patent/JP2007335891A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】金属−絶縁物−半導体電界効果トランジスタの製造において、基板材料のバンドギャップの中間付近の仕事関数を有し、フッ素による問題を除去し、ボロンのしみ込みを防ぎ、また、複雑かつ余計な工程段階を使用することのない、ゲート電極を形成する。
【解決手段】金属半導体窒化ゲート電極(40、70)が、半導体デバイス(60)において使用するために形成される。ゲート電極(40、70)は、スパッタデポジション、低圧化学蒸着(LPCVD)またはプラズマエンハンスト化学蒸着(PECVD)により形成できる。その材料は、シリコン含有化合物の類をエッチングし、従来のハロゲン基エッチング化学物質にエッチングされる。金属半導体窒化ゲート電極(40、70)は、比較的安定であり、従来のゲート電極よりも比較的薄く形成できる。また、基板(12)の物質のバンドギャップの中間付近の仕事関数を有する。
【選択図】図5

Description

本発明は、一般に、半導体デバイスの製造方法に関し、特に、金属半導体窒化物ゲート電極(me tal semiconductor nitride gate electrodes)を有する金属−絶縁物−半導体(metal-insulator-semiconductor)電界効果トランジスタに関する。
多くの超大規模集積回路(VLSI)デバイスにおいて、典型的に、ゲート電極は、ドープされたシリコン膜および処理しにくい金属シリサイド膜(refractory metal silicide film)を含む。これらのデバイスのいくつかにおいて、その処理しにくい金属シリサイドは、タングステンシリサイドであり、代表的には、タングステンヘキサフロライド(WF6)にシラン(SiH4)を反応させることによって、形成される。タングステンヘキサフロライドの問題の1つは、フッ素がゲート誘電体を厚くしてしまうことである。そのように厚くなることは、ゲート誘電体の電気的特性を変化させてしまい、望ましくない。タングステンシリサイド膜の形成後、反射防止コーティング(anti-reflective coating(ARC))が、タングステンシリサイド上に施される。代表的にこの膜は、シリコン窒化物などを含む、しかし、シリコン窒化物は、タングステンシリサイドに接着し難い。故に、薄いドーピングされていないアモルファスシリコン膜が、タングステンシリサイドとシリコン窒化物との間に使用され、接着が促進される。従来技術における他の問題は、典型的に、2つのドーピング段階が、ドーピング済みシリコン膜を形成するのに使用される。より詳細には、N+にドーピング済みシリコンはn-チャネルトランジスタに使用され、P+シリコンはp-チャネルトランジスタに使用される。さらに従来のゲート電極における問題は、ゲート電極からのホウ素が基板にしみ込み、p-チャネルトランジスタのしきい値電圧を変化させ得る。この問題は、薄いゲート誘電体層において、より顕著である。
基板の材料のバンドギャップの中間付近の仕事関数を有し、フッ素が関連してゲートを厚くする問題を引き起こさず、ボロンのしみ込みに抵抗する、ゲート電極を形成する必要性が存在する。また、複雑な工程を使用することなく、または余計な工程段階を使用する必要なく、ゲート電極を形成する必要性も存在する。
上記の目的を達成するために、請求項1に記載の発明は、金属−絶縁物−半導体を有する半導体デバイスであって、基板と、該基板の付近にあるゲート誘電体層と、第1部分および第2部分を有する導電性部材であって、該第1部分はゲート誘電体層の付近に存在するゲート電極であり、該第2部分は前記基板内のドーピング済み領域に直接コンタクトし、前記導電性部材は少なくとも約200オングストロームの厚さである第1の金属半導体窒化膜及び前記第1の金属半導体膜上に設けられる第2の金属半導体窒化膜を有し、前記第1部分は第1及び第2の金属半導体窒化膜からなる導電性部材と、前記ゲート電極および前記ゲート誘電体層の付近にある電流電極領域であって、前記金属−絶縁物−半導体トランジスタは、前記ゲート誘電体層、前記ゲート電極および前記電流電極領域を有する電流電極領域と、を備えることを要旨とする。
上記の目的を達成するために、請求項2に記載の発明は、金属−絶縁物−半導体を有する半導体デバイスであって、基板と、該基板の付近にあるゲート誘電体層と、ゲート電極部分、ローカル相互接続部分、およびコンタクト部分を有する導電性部材であって、該ゲート電極部分は、ゲート誘電体層の付近に存在するゲート電極からなり、該コンタクト部分は、前記基板内のドーピング済み領域に直接コンタクトし、前記ローカル相互接続部分は、該ゲート電極部分と該コンタクト部分との間に設けられ、前記導電性部材は、第1の金属半導体窒化膜及び前記第1の金属半導体膜上に設けられる第2の金属半導体窒化膜を有し、前記第1の金属半導体窒化膜は、該ゲート電極部分及び前記ローカル相互接続部分の少なくとも一部の下層に設けられている導電性部材と、前記ゲート電極および前記ゲート誘電体層の付近にある電流電極領域であって、前記金属−絶縁物−半導体トランジスタは、前記ゲート誘電体層、前記ゲート電極および前記電流電極領域を有する電流電極領域とを備えることを要旨とする。
金属半導体窒化物ゲート電極が、半導体デバイスに使用されるために形成される。そのゲート電極は、スパッタ(suputter deposition)、低圧化学蒸着(LPCVD)またはプラズマエンハンスト化学蒸着(PECVD)によって形成される。それらの材料は、シリコン含有化合物(silicon-containing compounds)と同様にエッチングすると考えられ、従来のハロゲン基エッチング化学物質(halide-basedetching chemistries)中にてエッチングされる。金属半導体窒化物ゲート電極の利点の一つは、比較的安定しており、かつ従来のゲート電極よりも比較的薄く形成可能であり、基板の材料のバンドギャップの中間付近に仕事関数を有する、ことである。
図1は、金属半導体窒化物(MSN)ゲート電極を含む半導体デバイスを形成するための工程フロー図である。ゲート誘電体層が、ステップ100にて、半導体デバイス上に亘って形成される。本詳細な説明において使用されるものとして、半導体デバイス基板は、単結晶半導体ウェハ、セミコンダクタオンインシュレータ(semiconductor-on-insulator)ウェハまたは半導体デバイスの形成に使用される任意の他の基板から構成される。
ゲート誘電体層膜を形成後、金属半導体窒化物、ドーピング済みアモルファスシリコンまたはドーピング済み多結晶シリコン(polysilicon)膜が、視覚的にゲート誘電体層上に亘って形成される。図1には、ステップ110、またはステップ112とステップ114との組合せ、若しくは、ステップ116とステップ118との組合せを図示している。ステップ110を参照すると、金属半導体窒化物の1つまたはそれ以上の膜が、ゲート誘電体層上にデポジションされる。ステップ112、114では、ドーピング済みポリシリコン膜が、最初、ゲート誘電体層上に亘ってデポジションされ、次に金属半導体窒化膜が、ドーピング済みポリシリコン層上に亘ってデポジションされる。ステップ116、118では、金属半導体窒化膜が、ゲート誘電体層じょうに亘ってデポジションされ、次に、ドーピング済みポリシリコン膜が、金属半導体窒化膜上に亘ってデポジションされる。前記適切な膜を形成した後、ステップ120にてゲート電極を形成するためにパターニングされる。
ゲート電極の形成に続いて、中間誘電体層(ILD)が、ステップ130にて形成される。コンタクトおよび相互接続が、ステップ140にて、半導体デバイスの適切な位置に形成される。パッシベーション層が、ステップ150にて、相互接続の最上部分(uppermost level)上に亘って形成される。
その工程フローは、図2〜5に図示されるとおり、デバイスの形成をより詳細に記述している。図2には、うすくp型にドーピング済みシリコンである半導体デバイス基板12を示す。フィールド分離領域(field isolation region)18(絶縁層を含む)が、選択酸化工程またはシャロウトレンチ分離工程(shallowtrench isolatin process)を使用して形成される。続いて、ゲート誘電体薄膜層(thin gate dielectric layer)20が、基板上に亘って形成される。そのゲート誘電体層20は、二酸化シリコン(silicon dioxide)、窒化酸化膜(nitride oxide)または窒化シリコンを含む。ゲート誘電体層20は、代表的には、約15〜150オングストロームの範囲の厚さである。ゲート誘電体層20は、熱酸化工程を使用し、デポジションまたは熱酸化と化学蒸着との組合せによって、形成される。
金属半導体窒化膜26が、図3に示されるように、フィールド分離領域18およびゲート誘電体層20上に亘って位置付けられる。その金属半導体窒化膜26は、約200〜1000オングストロームの範囲の厚さであり、より一般的には、400〜600オングストロームである。金属半導体窒化膜26は、チタン、バナジウム、クロミウム、ジルコニウム、ハフニウム、ニオビウム、モリブデン、タンタル、タングステン、コバルト、プラチナ、ニッケル、イリジウム、オスミウムまたはレニウムなどから成り得る金属を有し得る。
その材料は、スパッタ(物理的蒸着デポジション)により、デポジションされ得る。一実施例としては、金属半導体窒化物を有する目標物が、準備され、アルゴンプラズマを使用してスパッタされる。他の実施例としては、反応性スパッタは、窒素およびアルゴンを含むプラズマを金属シリサイドを含むターゲットに向かって方向づけることによって使用される。その窒素は、金属シリサイドと反応して、金属半導体窒化膜を形成する。
さらに他の実施例としては、低圧化学蒸着(プラズマによる援助はない)が使用される。その金属源は、六フッ化タングステン(WF6)、四塩化チタン(TiCl4)、三塩化タンタル(TaCl3)などのようなハロゲン化金属を含み得る。さらに、メタルオーガニック化学蒸着(metal organic chemical vapor deposition)が、使用され得る。前躯金属(metal precuursor)は、その化合物が、所定のデポジションパラメータ(deposition parameter)において、気化させ得る限り、多くの異なる種類の一つであり得る。実施例として、窒化シリコンタンタル(tantalum silicon niteride)においては、その前駆金属は、エチルトリキス・ジエチルアミド・タンタル(ethylteikis diethlamido tantalum)、テルトブチリミド・トリスジメチルアミノ・タンタル(tertbutylimido trisdimethyamido tantalum)、ペンタジメチルアミド・タンタル(pentadimethylamido tantalum)などを含む。明らかに、これらは、単なる例示である。また、化学蒸着は、シラン(SiH4)、ジシラン(Si2H6)、ジクロロシラン(SiH2Cl2)、ゲルマン(GeH4)などを含む半導体ソースガス(semiconductor source gas)、並びに、アンモニア(NH3)、窒素分子(N2)、などを含む窒素ソースガス(nitrogen source gas)を有し得る。その膜もまた、プラズマエンハンスト化学蒸着(PECVD)を使用して、形成される。PECVDを使用することによって、金属半導体窒化膜の応力のより良い制御により、応力が問題になるかどうかを試す(exercised)ことができる。
次に、レジスト層(図示せず)が、金属半導体窒化膜26上に亘って、添付され、パターニングされる。レジスト層をパターニングした後、金属半導体窒化膜26はパターニングされ、それによって、図4に示されるように、ゲート電極40を形成する。ゲート電極40を形成する際、エッチング化学物質は、典型的には、ポリシリコンをエッチングするのに使用されるものと似ている。代表的には、ハロゲン基化合物が、膜26をエッチングするのに使用される。そのハロゲン基化合物は、四フッ化炭素(CF4)、ヘキサフロロエタン(C2F6)、臭酸(HBr)、六フッ化硫黄(SF6)、塩酸(HCl)、塩素分子(Cl2)などを含み得る。
プラズマエッチング法、反応性イオンエッチング法、電子サイクロトロン共振法(electron cyclotron resonance)またはマイクロウェーブ法が、パターニングに使用され得る。ダウンストリームプラズマ法が、金属半導体窒化膜26の下に存在するゲート誘電体層20に対するダメージを軽減させるために使用され得る。典型的には、そのエッチングは、時間的オーバーエッチングに伴いフィールド分離領域18またはゲート誘電体層20に届く時に、エンドポイント検出を使用することによりなされる。ゲート電極40が形成された後、そのレジスト層は、従来の方法により、除去される。
図5に示すように、金属−絶縁物−半導体トランジスタを含むじっしつてきに完成された半導体デバイス60を形成するように、工程が続行される。ゲート電極40を形成後、ドーピング済み領域42が、ゲート電極40に隣在する(adjacent to)基板12内に、形成される。そのドーピング済み領域は、図示されたトランジスタのソース/ドレイン領域(電流電極)である。絶縁スぺーサ29もまた、ゲート電極40に隣在するように形成される。中間誘電体層(ILD)52が、ドーピングされていない酸化膜30およびドーピング済み酸化膜32から成るように形成される。ドーピング済み酸化膜34は、ボロフォスフォシリケイトグラス(borophosphosilicate glass(BPSG))から成る。次に、そのILD層52は、ドーピング済み領域42に一またはそれ以上のコンタクト開口34を形成するためにパターニングされる。
導電性プラグ36が、コンタクト開口34内に形成され、相互接続38が、導電性プラグ36上に亘り形成される。他の導電性プラグ、コンタクト開口および相互接続は、形成されてはいるが、図5には図示されていない。さらに、他の中間レベル誘電体層および相互接続レベル層が、ILD52および相互接続38上に亘って形成され得るが、図5に図示はされていない。最上層の相互接続レベル層上に亘って、パッシベーション層50が形成され、ドーピング済み酸化膜402およびプラズマエンハンスト窒化膜404から成る。
ゲート電極40は、ポリシリコン、処理しにくい金属シリサイド膜、アモルファスシリコン膜およびシリコン窒化膜から成る従来から使用されているゲートスタックよりも非常に薄い。それらの従来技術の構造において、そのゲートスタックは、多くの膜が使用されているので、高さにおいて0.5ミクロン高くなり得る。従来技術と違い、その金属半導体窒化膜は、個別(separate)反射防止コーティング(ARC)を必要としない。また、ゲート電極に三層またはそれ以上の個別の膜を形成する従来技術と比較して、本実施例においては、ゲート電極にたった一層の膜をデポジションするだけなので、困難性も少なく、工程もより短い。さらに、ゲート電極の抵抗値は、金属窒化物または金属シリサイドに対応する従来の抵抗値と比較して低い。この作用により、デバイスのスピードが速くなる。
従来技術に勝る本発明の他の利点は、そのゲート電極により、指数関数的にゲート電極の抵抗値を高くすることなくサイズを小さくできる(scale down)ことである。より詳細には、ゲートが0.25ミクロン以下にスケーリングされると。チタンシリサイド(TiSi2)が、集積し、若しくは高抵抗値による他の問題が起こり得る。サイズを小さくするにつれ、TiSiNの抵抗は、増加はするが、0.25ミクロン以下にスケーリングされた場合、Ti Si2ほど大きく増加はしない。故に、本発明の1つの利点は、従来技術よりも良く、技術的にスケーリングできることである。
また、本発明においては、金を含んでいるゲート電極が、電界効果トランジスタの慣例の工程の間における温度の安定性に伴う問題が予想されるという理由から、金を含んでいるゲート電極に勝る、という利点がある。金は、金属半導体窒化膜中に拡散され、金属半導体窒化膜と相互作用する。これらの温度サイクル(temperature cycle)が起こるのは、典型的に、少なくとも800℃の温度にて処理されるN+ソース/ドレインアニール、1000〜1100℃の範囲の温度で処理されるドーピング済みポリシリコン側からのエミッタドライブ、500℃よりも高い他の熱的サイクル、の間である。さらに、金は、基板にしみ込み、好ましくない電気的ショートの原因になり得る。故に、金を含まない金属半導体窒化膜を形成することによって、温度の安定性および金の汚染に係る問題の多くが除かれる。
金属半導体窒化物ゲート電極は、他にも従来技術に勝る利点を有する。そのゲート電極は、基板12の物質のバンドギャップの中間付近の仕事関数を有するように形成され得る。その基板12がシリコンの場合、そのゲート電極の仕事関数は、シリコンのバンドギャップの中間から0.2eV内になりうる。金属半導体窒化膜が形成され、フッ素およびボロンの両方の効果的な障壁になり得るので、フッ素のしみ込みという従来の問題は、大いに削減される。さらに、シリコン窒化膜は、ARCに必要とされず、故に、従来見受けられた固着(adhension)の問題が除かれる。
図5に示したとおり、本発明において、その構造は、スタティックランダムアクセスメモリの一部分になり得る。この場合、相互接続38は、ドーピング済み領域42にコンタクトするビットラインである導電性プラグ36を有するビットラインになり得る。ビットラインコンタクトに隣在するゲート電極40は、スタティックランダムアクセスメモリ(SRAM)セルのためのアクセストランジスタになり、他のゲート電極26はラッチトランジスタのゲート電極である。さらに他の実施例としては、ゲート電極は、ダイナミックランダムアクセスメモリに使用され得る。その構造は、ラッチトランジスタは全く無いが、ビットラインコンタクトを有するアクセストランジスタが残存すること以外は同様である。アクセストランジスタである場合、ゲート電極40はメモリアレイにワードラインの一部分になり得る。
図6にて、代りの実施例としては、二層構造(bilayered)ゲート電極が形成される。金属半導体窒化膜66は、フィールド絶縁領域18およびゲート誘電体層20上にデポジションされる。次に、ドーピング済みシリコン膜68が、金属半導体窒化膜66上に形成される。ドーピング済みシリコン膜68は、デポジションされたアモルファスシリコンまたはポリシリコンから成り、個別のドーピング段階の間か、またはそれと同時に(insitu)、ドーピングされる。本実施例において、二層構造の厚さは、約1000〜2000オングストロームの範囲内にするのが好ましい。しかしながら、金属半導体窒化膜66は、約200〜1000オングストロームの厚さを残留させるのが好ましい。ドーピング済みシリコン膜68は、その積層(stack)の応力の大きさを軽減するのに役立つ。追加的に、ARCがポリシリコン膜上に形成され得るが、図6には示していない。
図7にて、レジスト層(図示せず)が、ドーピング済みシリコン膜68上にコーティングされ、次に、その二層は、ゲート電極70を形成するためにパターニングされる。次に、そのレジスト層は、従来の方法を用いて除去される。再び、同様のエッチング化学物質が使用され、金属半導体窒化膜66およびドーピング済みシリコン膜68をエッチングするために使用される。この方法の利点のうちの1つは、エンドポイント検出が、金属半導体窒化膜66の金属に反応(turned)し得ることである。例えば、タングステンシリコン窒化膜がエッチングされる場合、そのプラズマエッチャは、廃ガス(effluent gas)におけるタングステンを監視するであろう。そのタングステンは、実質的に、ゼロになったかまたは、しきい値以下になったときに、エンドポイントに届いたことになる。
図8に示すように、工程は、金属−絶縁物−半導体の残りの部分の形成に続く。ドーピング済み領域42が、ゲート電極70に隣在し、かつ基板12の主表面であるところの基板12内に、形成される。nチャネルトランジスタかpチャネルトランジスタのいずれかを形成すべきかに依って、これらのドーピング済み領域42は、n型またはp型になる。ドーピング済み領域42は、トランジスタのソース/ドレイン領域(電流電極)になり得る。次に、サイドウォールスぺーサ29が、ゲート電極70に隣在するように形成される。ゲート電極70またはサイドウォールスぺーサ29によって覆われていないゲート誘電体層20の一部分は、除去される。
次に、ドーピング済み領域42およびシリコン膜68の部分上にセルフアライン金属シリサイド領域85を形成するために、シリサイド工程が施される。この実施例において、チタン層がデポジションされ、露出したシリコンと反応し、それによって、シリサイド領域85を形成する。このシリサイドの形成の間に、シリコンフィルム68のほとんどが、使われる。他の実施例においては、全シリコン膜68またはそのほんの少しの部分が、シリサイドの反応の間に使われる。さらに他の実施例として、図6、7に示される膜を逆にし得る。この実施例では、ドーピング済みシリコン膜68がフィールド絶縁領域18およびゲート誘電体層20上に形成され、金属半導体窒化膜66はドーピング済みシリコン膜68上にデポジションされる。この膜の厚さおよびエッチングは、前述の実施例と同じであることが好ましい。しかし、前述の実施例と異なり、シリコン膜68のドーピングは、いずれの型のデバイスと形成するかに依る。より詳細には、そのゲート電極がnチャネルトランジスタ用である場合、そのドーピング済みシリコンは、n型にドーピングされ、pチャネルトランジスタ用である場合、そのドーピング済みシリコンは、p型にドーピングされる。従来技術に勝るこの実施例の利点の1つは、ARCが必要ないことである。さらに、ゲート誘電体層20に対するプラズマによるダメージのような類のものが、より少ない。二層構造の実施例においても、金属シリサイドまたは金属窒化物を使用する従来のゲート電極と比較し、低抵抗である。
図9〜11は、ゲート電極および基板コンタクトを図示した本発明の他の実施例を示す。図9によると、第1金属半導体窒化膜82が、フィールド絶縁領域18およびゲート誘電体層20上に形成される。その金属半導体窒化膜82は、代表的には、約200〜500オングストロームの範囲内の厚さである。次に、レジストマスク84が、金属半導体窒化膜82の一部分上に形成される。金属半導体窒化膜82が露出している領域においては、その下に存在する基板12へのコンタクトが形成される。エッチング段階が施されることにより、マスク84によって被覆されていない金属半導体窒化膜82およびゲート誘電体層20を除去する。図10に示されるように、ドーピング工程が施されることにより、基板12におけるドーピング済み領域92を形成する。
従来技術においては、典型的に、ベリッドコンタクトが、シリコン基板にコンタクトするポリシリコン膜を使用することによって、形成される。シリコン基板にトレンチを形成せずに、ポリシリコンをエッチングする場合、困難である。金属半導体窒化膜82をエッチングおよび廃流(effluent stream)内の金属含有比率の監視により、エンドポイントの制御は、ずっと厳密になり、基板12に直接コンタクトするアモルファスシリコンまたはポリシリコンを使用するベリッドコンタクトに比較して、基板12のトレンチのような類のものを、激減させることができる。
レジストマスク84が除去された後、第2金属半導体窒化膜94が形成され、代表的には、約500〜1000オングストロームの範囲内の厚さである。金属半導体窒化膜82および金属半導体窒化膜94は、同一または異なるデポジション技術によって形成され、また、それらの膜内には、同一金属または異なる金属が含まれ得る。金属半導体窒化膜94は、金属半導体窒化膜82および基板12内に存在するドーピング済み領域92上にデポジションされる。
次に、レジスト層(図示せず)が、基板上に形成され、パターニングされる。図11に示すように、第1および第2金属半導体窒化膜82および94は、エッチングされ、導電性部材(conductive member)を形成する。金属半導体窒化膜94は、ゲート電極部分941、ローカル相互接続部分943および基板コンタクト部分945から成る。本実施例においては、そのドーピング済み領域92は、ある構成材の一部分であり、ゲート電極部分941を含むトランジスタは異なる構成要素の一部分である。このようにして、非常に大きさが小さく、導電性プラグ若しくはアルミニウムまたは銅の相互接続を必要とせずに、基板コンタクトと単純なローカル相互接続が形成される。
金属半導体窒化膜の多くの異なる金属が使用されるが、モリブデン、タンタル、タングステンおよびチタンは、他の金属よりも頻繁に使用され得ると考えられる。これらの金属のうち、その低抵抗率およびその結晶化の抵抗(resistance to crystallization)のため、タンタルが最も有望である。ゆえに、より薄いゲート電極が形成され、アモルファスをより適切に、残留させ、形成する。
本発明の一実施例に従った、半導体デバイスを形成するための工程フロー図。 フィールド分離領域およびゲート誘電体層を形成した後の半導体デバイス基板の一部分の断面図。 金属半導体窒化膜を形成した後の図2の基板の断面図。 本発明の一実施例に従った、ゲート電極を形成するために金属半導体窒化膜をパターニングした後の図3の基板の断面図。 実質的に、完成したデバイスを形成した後の図4の基板の断面図。 本発明に従った、ゲート電極を形成するための他の実施例の断面図。 本発明に従った、ゲート電極を形成するための他の実施例の断面図。 本発明に従った、ゲート電極を形成するための他の実施例の断面図。 本発明に従った、ゲート電極を形成するための他の実施例の断面図。 本発明に従った、ゲート電極を形成するための他の実施例の断面図。 本発明に従った、ゲート電極を形成するための他の実施例の断面図。
符号の説明
12…基板、18…フィールド分離領域、20…ゲート誘電体膜、26…金属半導体窒化膜、29…絶縁スぺーサ、30、32、34…酸化膜、36…導電性プラグ、38…相互接続、40、70…ゲート電極、42…電流電極領域、50…パッシベーション層、52…中間誘電体層(ILD)、60…半導体デバイス、66…金属半導体窒化膜、68…半導体膜、70…ゲート電極、85…セルフアライン金属シリサイド領域、92…ドーピング済み領域、402…酸化膜、404…プラズマエンハンスト窒化膜、941…第1部分、945…第2部分。

Claims (2)

  1. 金属−絶縁物−半導体を有する半導体デバイスであって、
    基板(12)と、
    該基板(12)の付近にあるゲート誘電体層(20)と、
    第1部分(941)および第2部分(945)を有する導電性部材であって、該第1部分(941)はゲート誘電体層(20)の付近に存在するゲート電極であり、該第2部分(945)は前記基板(12)内のドーピング済み領域(92)に直接コンタクトし、前記導電性部材は少なくとも約200オングストロームの厚さである第1の金属半導体窒化膜(82)及び前記第1の金属半導体膜(82)上に設けられる第2の金属半導体窒化膜(94)を有し、前記第1部分(941)は第1及び第2の金属半導体窒化膜(82,94)からなる導電性部材と、
    前記ゲート電極および前記ゲート誘電体層(20)の付近にある電流電極領域(42)であって、前記金属−絶縁物−半導体トランジスタは、前記ゲート誘電体層(20)、前記ゲート電極および前記電流電極領域(42)を有する電流電極領域(42)と、
    を備えることを特徴とする半導体デバイス。
  2. 金属−絶縁物−半導体を有する半導体デバイスであって、
    基板(12)と、
    該基板(12)の付近にあるゲート誘電体層(20)と、
    ゲート電極部分(941)、ローカル相互接続部分(943)、およびコンタクト部分(945)を有する導電性部材であって、該ゲート電極部分(941)は、ゲート誘電体層(20)の付近に存在するゲート電極からなり、該コンタクト部分(945)は、前記基板(12)内のドーピング済み領域(92)に直接コンタクトし、前記ローカル相互接続部分(943)は、該ゲート電極部分(941)と該コンタクト部分(945)との間に設けられ、前記導電性部材は、第1の金属半導体窒化膜(82)及び前記第1の金属半導体膜(82)上に設けられる第2の金属半導体窒化膜(94)を有し、前記第1の金属半導体窒化膜(82)は、該ゲート電極部分(941)及び前記ローカル相互接続部分(943)の少なくとも一部の下層に設けられている導電性部材と、
    前記ゲート電極および前記ゲート誘電体層(20)の付近にある電流電極領域(42)であって、前記金属−絶縁物−半導体トランジスタは、前記ゲート誘電体層(20)、前記ゲート電極および前記電流電極領域(42)を有する電流電極領域(42)と
    を備えることを特徴とする半導体デバイス。
JP2007209745A 1997-03-31 2007-08-10 半導体デバイス Pending JP2007335891A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/831,287 US6084279A (en) 1997-03-31 1997-03-31 Semiconductor device having a metal containing layer overlying a gate dielectric

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP10568498A Division JP4606525B2 (ja) 1997-03-31 1998-03-31 半導体デバイス

Publications (1)

Publication Number Publication Date
JP2007335891A true JP2007335891A (ja) 2007-12-27

Family

ID=25258733

Family Applications (2)

Application Number Title Priority Date Filing Date
JP10568498A Expired - Lifetime JP4606525B2 (ja) 1997-03-31 1998-03-31 半導体デバイス
JP2007209745A Pending JP2007335891A (ja) 1997-03-31 2007-08-10 半導体デバイス

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP10568498A Expired - Lifetime JP4606525B2 (ja) 1997-03-31 1998-03-31 半導体デバイス

Country Status (3)

Country Link
US (1) US6084279A (ja)
JP (2) JP4606525B2 (ja)
KR (1) KR100589865B1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109786254A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 后栅极工艺中的选择性高k形成
US11508583B2 (en) 2017-11-15 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Selective high-k formation in gate-last process

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7829144B2 (en) * 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
JPH11195621A (ja) * 1997-11-05 1999-07-21 Tokyo Electron Ltd バリアメタル、その形成方法、ゲート電極及びその形成方法
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6436801B1 (en) 1999-02-26 2002-08-20 Texas Instruments Incorporated Hafnium nitride gate dielectric
JP3818051B2 (ja) * 1999-12-10 2006-09-06 昭和電工株式会社 アンモニア中の水分濃度の測定方法、測定装置、水分が低減されたアンモニアの製造方法およびその用途
US6518106B2 (en) 2001-05-26 2003-02-11 Motorola, Inc. Semiconductor device and a method therefor
SG107563A1 (en) * 2001-07-31 2004-12-29 Agency Science Tech & Res Gate electrodes and the formation thereof
US6894353B2 (en) 2002-07-31 2005-05-17 Freescale Semiconductor, Inc. Capped dual metal gate transistors for CMOS process and method for making the same
US20040135218A1 (en) * 2003-01-13 2004-07-15 Zhizhang Chen MOS transistor with high k gate dielectric
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US7952118B2 (en) * 2003-11-12 2011-05-31 Samsung Electronics Co., Ltd. Semiconductor device having different metal gate structures
US20050164460A1 (en) * 2004-01-23 2005-07-28 Agency For Science, Technology And Research Salicide process for metal gate CMOS devices
US6897095B1 (en) 2004-05-12 2005-05-24 Freescale Semiconductor, Inc. Semiconductor process and integrated circuit having dual metal oxide gate dielectric with single metal gate electrode
WO2007067589A2 (en) * 2005-12-05 2007-06-14 Massachusetts Institute Of Technology Insulated gate devices and method of making same
JP4849881B2 (ja) 2005-12-08 2012-01-11 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US7897514B2 (en) * 2008-01-24 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor contact barrier
US20140048888A1 (en) 2012-08-17 2014-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained Structure of a Semiconductor Device
US9178152B2 (en) * 2013-12-23 2015-11-03 Intermolecular, Inc. Metal organic chemical vapor deposition of embedded resistors for ReRAM cells

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59108358A (ja) * 1982-12-13 1984-06-22 Fujitsu Ltd 半導体装置
JPH01281752A (ja) * 1988-05-07 1989-11-13 Seiko Epson Corp 半導体装置の製造方法
JPH03114267A (ja) * 1989-09-28 1991-05-15 Hitachi Ltd 半導体装置およびその製造方法
JPH04208535A (ja) * 1990-12-01 1992-07-30 Fujitsu Ltd 半導体装置の製造方法
JPH07326617A (ja) * 1994-06-01 1995-12-12 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
JPH08236479A (ja) * 1995-01-12 1996-09-13 Internatl Business Mach Corp <Ibm> 多層構造におけるTaSiN酸素拡散バリア

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4605947A (en) * 1983-03-07 1986-08-12 Motorola Inc. Titanium nitride MOS device gate electrode and method of producing
US4490209B2 (en) * 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
US4847111A (en) * 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
JPH02103939A (ja) * 1988-10-12 1990-04-17 Mitsubishi Electric Corp 半導体装置の製造方法
US5106786A (en) * 1989-10-23 1992-04-21 At&T Bell Laboratories Thin coatings for use in semiconductor integrated circuits and processes as antireflection coatings consisting of tungsten silicide
JP3127454B2 (ja) * 1990-08-08 2001-01-22 ソニー株式会社 シリコン系被エッチング材のエッチング方法
KR920005242A (ko) * 1990-08-20 1992-03-28 김광호 게이트-절연체-반도체의 구조를 가지는 트랜지스터의 제조방법
JPH065852A (ja) * 1992-06-23 1994-01-14 Oki Electric Ind Co Ltd Mosfet及びその製造方法
US5364803A (en) * 1993-06-24 1994-11-15 United Microelectronics Corporation Method of preventing fluorine-induced gate oxide degradation in WSix polycide structure
JP3351635B2 (ja) * 1993-12-28 2002-12-03 株式会社東芝 半導体装置およびその製造方法
JP2692590B2 (ja) * 1994-06-29 1997-12-17 日本電気株式会社 半導体装置およびその製造方法
KR960009013A (ko) * 1994-08-18 1996-03-22 김광호 타이타늄 나이트라이드(TiN) 게이트전극 형성방법
KR960039434A (ko) * 1995-04-21 1996-11-25 김광호 금속 게이트 전극을 갖는 트랜지스터 및 그 제조방법
KR0151066B1 (ko) * 1995-06-29 1998-12-01 김광호 게이트 전극으로 티타늄 질화막을 사용하는 반도체 장치의 제조방법
US5688703A (en) * 1995-09-05 1997-11-18 Motorola, Inc. Method of manufacturing a gate structure for a metal semiconductor field effect transistor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59108358A (ja) * 1982-12-13 1984-06-22 Fujitsu Ltd 半導体装置
JPH01281752A (ja) * 1988-05-07 1989-11-13 Seiko Epson Corp 半導体装置の製造方法
JPH03114267A (ja) * 1989-09-28 1991-05-15 Hitachi Ltd 半導体装置およびその製造方法
JPH04208535A (ja) * 1990-12-01 1992-07-30 Fujitsu Ltd 半導体装置の製造方法
JPH07326617A (ja) * 1994-06-01 1995-12-12 Nippon Telegr & Teleph Corp <Ntt> 半導体装置およびその製造方法
JPH08236479A (ja) * 1995-01-12 1996-09-13 Internatl Business Mach Corp <Ibm> 多層構造におけるTaSiN酸素拡散バリア

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109786254A (zh) * 2017-11-15 2019-05-21 台湾积体电路制造股份有限公司 后栅极工艺中的选择性高k形成
CN109786254B (zh) * 2017-11-15 2022-03-22 台湾积体电路制造股份有限公司 后栅极工艺中的选择性高k形成
US11508583B2 (en) 2017-11-15 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Selective high-k formation in gate-last process

Also Published As

Publication number Publication date
US6084279A (en) 2000-07-04
JPH10294455A (ja) 1998-11-04
KR19980080897A (ko) 1998-11-25
JP4606525B2 (ja) 2011-01-05
KR100589865B1 (ko) 2006-09-20

Similar Documents

Publication Publication Date Title
JP2007335891A (ja) 半導体デバイス
US7439138B2 (en) Method of forming integrated circuitry
US6074960A (en) Method and composition for selectively etching against cobalt silicide
US6858483B2 (en) Integrating n-type and p-type metal gate transistors
US7256137B2 (en) Method of forming contact plug on silicide structure
US4470189A (en) Process for making polycide structures
US5164331A (en) Method of forming and etching titanium-tungsten interconnects
JPH10308360A (ja) 半導体装置の製造方法
US6187676B1 (en) Integrated circuit insulated electrode forming methods using metal silicon nitride layers, and insulated electrodes so formed
US6720605B1 (en) Aluminum-filled self-aligned trench for stacked capacitor structure and methods
KR100530431B1 (ko) 반도체장치형성프로세스
KR100382539B1 (ko) 반도체소자의 전극 보호막 형성방법
WO2022156179A1 (zh) 半导体结构的制造方法、半导体结构、晶体管及存储器
US6103623A (en) Method for fabricating a tungsten plug structure and an overlying interconnect metal structure without a tungsten etch back or CMP procedure
KR20020031283A (ko) 반도체집적회로장치 및 그 제조방법
US8445381B2 (en) Oxide-nitride stack gate dielectric
KR100275733B1 (ko) 2중층스페이서를갖는모스트랜지스터형성방법
KR19980070785A (ko) 반도체 장치 및 그 제조 방법
US6184117B1 (en) Method for reducing lateral silicide formation for salicide process by additional capping layer above gate
US20020192960A1 (en) Method and material for removing etch residue from high aspect ratio contact surfaces
US6087259A (en) Method for forming bit lines of semiconductor devices
US6319806B1 (en) Integrated circuit wiring and fabricating method thereof
KR20090032893A (ko) 반도체 소자 형성 방법
JPH065602A (ja) 半導体装置及びその製造方法
KR20060010240A (ko) 반도체 소자의 금속 전도 라인 형성방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110526

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110823

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110922

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20111011