JPH0412612B2 - - Google Patents

Info

Publication number
JPH0412612B2
JPH0412612B2 JP59005865A JP586584A JPH0412612B2 JP H0412612 B2 JPH0412612 B2 JP H0412612B2 JP 59005865 A JP59005865 A JP 59005865A JP 586584 A JP586584 A JP 586584A JP H0412612 B2 JPH0412612 B2 JP H0412612B2
Authority
JP
Japan
Prior art keywords
layer
metal
silicon
mask
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
JP59005865A
Other languages
English (en)
Other versions
JPS59217328A (ja
Inventor
Robaatsu Sutanree
Rojaa Howaito Furanshisu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS59217328A publication Critical patent/JPS59217328A/ja
Publication of JPH0412612B2 publication Critical patent/JPH0412612B2/ja
Granted legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/7688Filling of holes, grooves or trenches, e.g. vias, with conductive material by deposition over sacrificial masking layer, e.g. lift-off
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76889Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances by forming silicides of refractory metals
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/084Ion implantation of compound devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/951Lift-off

Description

【発明の詳細な説明】 〔発明の技術分野〕 本発明は集積回路装置に用いる多結晶シリサイ
ド構造体の製造方法に係る。更に具体的に云う
と、本発明はシリサイド及び多結晶シリコンをエ
ツチングし、リフト・オフ技法によつて付着させ
た反応性イオン・エツチング・マスクを用いて出
来た構造体の幾何学的パターン即ちジオメトリを
画成するための新規な改良した方法に係る。
〔背景技術〕
以下の於てポリシリコンと称する多結晶シリコ
ンは温度安定性が大きく、自己パツシベーシヨン
によつて安定した絶縁層を与える能力がある事か
らして半導体技術に於て用いられてきた。ドープ
ト・ポリシリコンは特に自己パツシベーシヨンの
可能な導電材を必要とする応用面に於て電極及び
相互結線材として用いられてきた。しかしなが
ら、デバイス寸法の微細化によつてポリシリコン
線の抵抗はデバイスの性能を相当損うレベルにま
で増大する。この問題を緩和し、寸法は微小化す
るがシート抵抗は低抵抗に維持される電極及び相
互結線を有する高密度の集積回路(IC)を製造
するために、その様な応用面に用いるための異つ
たタイプの金属シリサイドが開発された。金属シ
リサイドは種々の電極及び相互結線の応用に於て
ドープト・ポリシリコン層と共にあるいは該層を
用いないで使用できる。シリサイドはその低シー
ト抵抗率に加えて自己パツシベーシヨンの能力を
有し、シリサイドの露出表面を酸化雰囲気にさら
すと該表面上に二酸化シリコンの層が形成され
る。ドープト・ポリシリコンと金属シリサイドと
の合成導線はポリサイド(polycide)と称せられ
る。ポリサイド構造体は高導電率及び寄生容量の
減少といつた組合された利点を有する。
〔発明の目的及び概要〕
本発明の目的は改善さえた導線の鮮鋭度(画成
度)及び線幅制御性を有する高導電性のポリサイ
ド構造体の製造方法を提供する事である。
本発明の他の目的はポリサイド構造体に於ける
全ての素子が均一な線幅を有するポリサイド構造
体を形成する方法を提供する事である。
本発明の更に他の目的は蒸発性のリフト・オフ
技法に適合したポリサイド構造体を形成するため
の方法を提供する事である。
本発明の他の目的はシリサイドを蒸発性リフ
ト・オフ技法によつて形成し、下層のポリシリコ
ン層を、RIEエツチングの際に腐食せず、汚れを
残す事なくそのエツチング・ステツプによつて除
去する事が出来、そしてポリサイド構造体に於け
る成分材料に影響を与えないマスクを用いる反応
性イオン・エツチング・プロセスによつて形成す
る、ポリサイド構造体の形成方法を提供する事で
ある。
本発明の教示に従つて、半導体基板上に絶縁材
料層を形成し、絶縁層の上にポリシリコンの層を
形成し、そしてポリシリコン層の上にフオトレジ
スト材の層を付着するプロセスを含むポリサイド
構造体を形成する改良された方法が提供される。
フオトレジスト層に所望のパターンを形成して下
層のポリシリコン層の部分を露出させる。ポリシ
リコン層の露出した部分にシリコン及びシリサイ
ド形成金属を同時付着する事によつて合成された
金属−シリコン層を形成する。合成金属−シリコ
ン層の上にシリコンの層を付着し、このシリコン
層の上に適当な拡散バリヤ層、例えばタングステ
ン、を設ける。金属の層をドライ・エツチング・
マスクとして用いるために、拡散バリヤ層の上に
付着させる。この金属はドライ・エツチングの際
に用いる温度範囲に於て揮発性のハロゲン化物を
形成しない金属の群から選択される。揮発性のハ
ロゲン化物を形成させない事によつて、その材料
はドライ・エツチング・マスクとして働らく。更
にこの金属はシリコンもしくはシリコンを含有す
る化合物をエツチングしないエツチング剤を用い
てウエツト・エツチングする事によつて除去でき
る事が必要である。コバルト、ニツケル、鉄もし
くはマンガンの様な金属がその様な特性を有す
る。次にフオトレジスト・マスク及びこのマスク
上の過剰材料を除去するためのリフト・オフによ
つて及び合成金属−シリコン層の金属及びシリコ
ンを反応させて、金属シリサイド層を形成する反
応アニーリング工程を行なう。ポリシリコン層の
露出した部分をドライ・エツチングで除去する。
次に金属及び隣接する拡散バリヤ層の除去及び金
属シリサイド層を均質化するためのアニーリング
工程を行なう。
その代りに、ポリシリコン層の上にCVDの様
な通常のプロセスによつてシリサイド層を付着
し、そのシリサイド層上にシリコン・キヤツピン
グ層を付着する事によつてポリサイド層を形成す
る事ができる。次に、本発明に従つてドライ・エ
ツチング・マスクを用いて、付着された層を画成
し、エツチングする。まず、シリコン層上にフオ
ト・レジスト・マスクを形成し、そしてドライ・
エツチングの間保護すべきシリコン層の部分の上
に所定の金属を付着させるためにこのマスクを用
いる事によつてドライ・エツチング・マスクを形
成しうる。次にあらゆる過剰な材料を有するフオ
トレジスト・マスクを除去する。次にRIEの様な
ドライ・エツチングを用いてシリコン層の露出部
分と、シリサイド及びポリシリコン層の下方の部
分とを除去する。金属マスクによつて覆われたこ
れらの層の部分はドライ・エツチングの間保護さ
れる。この技法を用いるとシリサイド材はそのポ
リシリコン層上への付着の前に形成されるので、
ドライ・エツチングの前に反応アニーリング工程
を行なう必要がなく、また金属マスクをシリコン
層内へ拡散させる事ができる温度レベルまで構造
体を加熱する必要がない。従つて本発明を実施す
るこの代替的技法を用いることによつて、シリコ
ン層及び金属マスクの間に拡散バリヤ層を設ける
事は不必要である。
〔実施例〕
第1図を参照する。出来上つた構造体の使用予
定に依存してN型もしくはP型の単結晶シリコン
であつてよい半導体基板10が図示されている。
基板10の上には絶縁層12が設けられる。シリ
コン基板を用いる場合、絶縁層12はシリコンの
熱酸化によつて成長される二酸化シリコンの層で
あつてもよい。もしも出来たポリサイド構造体を
ゲード電極として用いるならば、この層12はゲ
ート絶縁体となり、典型例として200Åないし500
Åの厚さを有する。ドープト・ポリシリコンのブ
ランケツト層14は層12の上に設けられる。こ
のポリシリコン層は任意の適当な厚さでよいが、
典型例としては1000Åないし、4000Åが好まし
い。第2図に於て、16はフオトレジスト層であ
つて、ポリシリコン層14の表面20の所定部分
を露出させる様に公知の技法によつて開口18が
形成されている。開口18の形状及びフオトレジ
ストの傾斜角度はリフト・オフ技法に適合する様
に設計する。第3図に於て、開口18を介して及
びフオトレジスト上に数層からなる層を順次付着
させた構造体を示す。ポリシリコン層14の露出
した部分の上に金属シリサイド層22が付着され
る。層22の厚さは概してポリシリコン層14の
厚さに依存する。典型例として、シリサイド層2
2の厚さは1000Åないし4000Åである層14の厚
さに比肩しうる厚さであるべきである。金属シリ
サイド層22の金属はタングステン、チタン、ニ
オブ、モリブデン、タンタル、イリジウムもしく
はロジウムの様な耐火金属が好ましい。金属シリ
サイド層22は金属及びシリコンの個々のターゲ
ツトを電子ビームで加熱しつつ金属及びシリコン
の同時蒸着の様な任意適当な技法によつて付着さ
せる事ができる。これは、まず金属−シリコン合
成層を形成し、この層は次のアニーリング工程に
於て対応した金属シリサイド層に変わる。次に、
全体的にポリサイド構造体の次の酸化作用を改善
するために、キヤツピング層として働く純粋のシ
リコン層24を層22の上に付着させる。タング
ステンの層の様な薄い拡散バリヤ層26を再び蒸
着によつてシリコン層24の上に付着させる。こ
の層の典型的な厚さは250Åないし500Åである。
タングステン層26は、650℃ないし700℃の温度
より低い温度ではシリコン−キヤツピング層24
と反応しない。薄い拡散バリヤ層26の上にマス
キング層28を付着する。このマスキング層は、
ドライ・エツチングの環境に於ては揮発性のハロ
ゲン変物を形成しない、構造体を汚染させる事な
く構造体内に存在する他の材料をエツチングしな
い化学物質でもつてウエツト・エツチングしうる
金属で作られる。更に、このマスキング材は拡散
バリヤ層の材料と化学的に反応しない様に該材料
に適合したものでなければならない。その様な特
性を有する材料としてはニツケル、鉄、コバルト
及びマンガン等がある。金属マスク層28は例え
ばタングステン層26の上にコバルトを蒸着させ
る事によつて形成しうる。次に全ての過剰材料と
共にフオトレジスト層16を通常の方法によりリ
フト・オフする工程によつて除去する。これによ
つて第4図に示す構造体をうる。次に、合成金属
−シリコン層における金属及びシリコンを反応さ
せて対応する金属シリサイドを形成するために
500℃ないし600℃が好ましい温度において構造体
を低温反応アニーリングする事が必要である。こ
の温度範囲において、タングステンはシリコンと
反応せず、コバルト層及びシリコン層の間のタン
グステン層の故にコバルトはシリコン内へは拡散
し得ない。リフト・オフ工程に続いて、構造体を
ドライ・エツチング(RIEが好ましい)し、金属
マスク28によつて画成されたゲート領域を除く
全領域からポリシリコン層14を除去し、垂直ゲ
ート電極側壁部を形成する。RIEはCF4+O2の様
な雰囲気を用いて実施する事が出来る。この工程
において、金属マスクがコバルトであるならば、
コバルトがCF4と反応してコバルト・マスク28
の上に弗化コバルト(CoF2)の薄層を形成する。
このCoF2の薄層はRIE工程中は揮発しないので
残りのコバルト層を腐食しない様に保護する。こ
れによつて、ゲート電極の側壁が垂直となる事が
保証される。このエツチングはポリシリコン層1
4のマスクされない部分が完全に除去された時に
停止する。即ちそれは第5図に示される様に
SiO2層12の表面に達した時に停止する。次に、
通常の化学クリーニング・プロセスを用いて金属
マスクを除去する。例えば、HCl、H2O2及び
H2Oの混合体によつて、60℃ないし70℃に於て
約5分間でポリサイド構造体からコバルト、鉄、
ニツケルもしくはマンガンのマスクを除去する。
次に、例えばNH4OH、H2O2及びH2Oの混合体
を用いる通常のウエツト・エツチングによつて60
℃ないし70℃に於て約5分間でタングステン層2
6も除去する事により、第6図に示す構造体をう
る。通常のイオン注入工程及び注入用マスクとし
てゲート電極を用いる事によつて、基板に自己整
合ソース及びドレイン領域30及び32を形成し
うる。これによつて第7図の構造体をうる。次
に、シリサイド構造体を更に均質化するために
950℃ないし1100℃において均質化アニールを行
なう。
第8図において、本発明を実施するための代替
方法を示す。まず基板10上に絶縁層12を形成
する。層12の上にポリシリコン層14を付着
し、該層の上にCVDによつて金属シリサイド層
15を付着する。金属シリサイド層15の上にシ
リコン・キヤツピング層17を付着し、該層の上
にフオトレジスト・マスク19を形成する。この
フオトレジスト・マスクはリフト・オフ技法に適
合しうる様に形成される。コバルト、鉄、ニツケ
ル及びマンガンよりなる金属の群から選択した金
属層28をシリコン層17の露出した部分へ開口
21を介して付着する。フオトレジスト・マスク
19及びその上の過剰の金属をリフト・オフによ
り除去し、構造体を例えばRIE技術を用い、エツ
チング・マスクとして金属層28を用いてドラ
イ・エツチングする。これによつて絶縁層12の
上にポリサイド構造体をうる。次に第5図に関し
て述べた化学エツチング剤を用いてそのマスクを
除去する。
【図面の簡単な説明】
第1図ないし第7図は本発明の一実施例を説明
する図、第8図は本発明の他の実施例を説明する
図である。 10……半導体基板、12……絶縁層、14…
…ポリシリコン層、16……フオトレジスト層、
18……開口、20……ポリシリコン層の表面、
22……金属シリサイド層、24……シリコン
層、26……拡散バリヤ層、28……マスキング
層。

Claims (1)

  1. 【特許請求の範囲】 1 絶縁層の上にポリシリコン層を付着し、 上記ポリシリコン層の上に該層の所定領域を画
    成し、露出するフオト・レジスト・マスクを形成
    し、 上記ポリシリコン層の露出した部分にシリコン
    及びシリサイド形成金属を付着して合成金属−シ
    リコン層を形成し、 上記金属−シリコン層上にシリコン層を付着
    し、 上記シリコン層上に拡散バリヤ層を付着し、 下記のドライ・エツチング環境に於てエツチン
    グされず、シリコンもしくは上記金属のシリサイ
    ドをエツチングしないエツチング剤を用いるウエ
    ツト・エツチングによつて除去しうる金属のマス
    ク層を上記拡散バリヤ層の上に付着し、 上記フオトレジスト・マスクを除去し、 上記合成金属−シリコン層に於ける金属及びシ
    リコンを反応させて金属シリサイド層を形成する
    ように、以上の工程によつて得た構造体を反応ア
    ニーリングし、 上記金属マスク層をエツチング・マスクとして
    用いて上記ポリシリコン層の露出した部分をドラ
    イ・エツチングによつて除去し、 上記金属マスク層及び上記拡散バリヤ層を除去
    し、 上記金属シリサイド層を均質化するように、以
    上の工程によつて得た構造体をアニーリングする
    事を含む集積回路装置の製造方法。 2 絶縁層の上にポリシリコン層を付着し、 上記ポリシリコン層の上に金属シリサイド層を
    付着し、 上記金属シリサイド層の上にシリコン層を付着
    し、 上記シリコン層の上に該層の所定領域を画成
    し、露出するフオトレジスト・マスクを形成し、 下記のドライ・エツチング環境に於てエツチン
    グされず、シリコンもしくは上記金属シリサイド
    をエツチングしないエツチング剤を用いるウエツ
    ト・エツチングによつて除去しうる金属のマスク
    層を上記シリコン層の露出した部分に付着し、 上記フオトレジスト・マスクを除去し、 上記金属マスク層をエツチング・マスクとして
    用いて上記シリコン層、上記金属シリサイド層及
    び上記ポリシリコン層をドライ・エツチングによ
    つて除去し、 上記金属マスク層をウエツト・エツチングによ
    つて除去し、 上記金属シリサイド層を均質化するように、以
    上の工程によつて得た構造体をアニーリングする
    事を含む集積回路装置の製造方法。
JP59005865A 1983-05-23 1984-01-18 集積回路装置の製造方法 Granted JPS59217328A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/497,372 US4470189A (en) 1983-05-23 1983-05-23 Process for making polycide structures
US497372 1983-05-23

Publications (2)

Publication Number Publication Date
JPS59217328A JPS59217328A (ja) 1984-12-07
JPH0412612B2 true JPH0412612B2 (ja) 1992-03-05

Family

ID=23976595

Family Applications (1)

Application Number Title Priority Date Filing Date
JP59005865A Granted JPS59217328A (ja) 1983-05-23 1984-01-18 集積回路装置の製造方法

Country Status (4)

Country Link
US (1) US4470189A (ja)
EP (1) EP0126424B1 (ja)
JP (1) JPS59217328A (ja)
DE (1) DE3483659D1 (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4636834A (en) * 1983-12-12 1987-01-13 International Business Machines Corporation Submicron FET structure and method of making
US4551906A (en) * 1983-12-12 1985-11-12 International Business Machines Corporation Method for making self-aligned lateral bipolar transistors
US4546535A (en) * 1983-12-12 1985-10-15 International Business Machines Corporation Method of making submicron FET structure
FR2571177B1 (fr) * 1984-10-02 1987-02-27 Thomson Csf Procede de realisation de grilles en siliciure ou en silicium pour circuit integre comportant des elements du type grille - isolant - semi-conducteur
US4612258A (en) * 1984-12-21 1986-09-16 Zilog, Inc. Method for thermally oxidizing polycide substrates in a dry oxygen environment and semiconductor circuit structures produced thereby
US4663191A (en) * 1985-10-25 1987-05-05 International Business Machines Corporation Salicide process for forming low sheet resistance doped silicon junctions
US4796562A (en) * 1985-12-03 1989-01-10 Varian Associates, Inc. Rapid thermal cvd apparatus
US4709655A (en) * 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
JPS6362356A (ja) * 1986-09-03 1988-03-18 Mitsubishi Electric Corp 半導体装置
GB8710359D0 (en) * 1987-05-01 1987-06-03 Inmos Ltd Semiconductor element
US4974056A (en) * 1987-05-22 1990-11-27 International Business Machines Corporation Stacked metal silicide gate structure with barrier
JPH01120818A (ja) * 1987-09-23 1989-05-12 Siemens Ag 低伝達抵抗オーム接触の形成方法
JP2624797B2 (ja) * 1988-09-20 1997-06-25 株式会社日立製作所 アクティブマトリクス基板の製造方法
US4978637A (en) * 1989-05-31 1990-12-18 Sgs-Thomson Microelectronics, Inc. Local interconnect process for integrated circuits
US5077236A (en) * 1990-07-02 1991-12-31 Samsung Electronics Co., Ltd. Method of making a pattern of tungsten interconnection
KR920015622A (ko) * 1991-01-31 1992-08-27 원본미기재 집적 회로의 제조방법
US5334545A (en) * 1993-02-01 1994-08-02 Allied Signal Inc. Process for forming self-aligning cobalt silicide T-gates of silicon MOS devices
US6284584B1 (en) 1993-12-17 2001-09-04 Stmicroelectronics, Inc. Method of masking for periphery salicidation of active regions
US6107194A (en) * 1993-12-17 2000-08-22 Stmicroelectronics, Inc. Method of fabricating an integrated circuit
JP3045946B2 (ja) * 1994-05-09 2000-05-29 インターナショナル・ビジネス・マシーンズ・コーポレイション 半導体デバイスの製造方法
US5847463A (en) 1997-08-22 1998-12-08 Micron Technology, Inc. Local interconnect comprising titanium nitride barrier layer
JP3209164B2 (ja) * 1997-10-07 2001-09-17 日本電気株式会社 半導体装置の製造方法
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6235630B1 (en) * 1998-08-19 2001-05-22 Micron Technology, Inc. Silicide pattern structures and methods of fabricating the same
US6214713B1 (en) * 1998-10-19 2001-04-10 Promos Technology, Inc. Two step cap nitride deposition for forming gate electrodes
US6077750A (en) * 1998-10-27 2000-06-20 Lg Semicon Co., Ltd. Method for forming epitaxial Co self-align silicide for semiconductor device
KR100505449B1 (ko) * 1998-12-24 2005-10-14 주식회사 하이닉스반도체 반도체 소자의 폴리사이드 게이트 전극 형성방법
US6251777B1 (en) 1999-03-05 2001-06-26 Taiwan Semiconductor Manufacturing Company Thermal annealing method for forming metal silicide layer
US6475911B1 (en) * 2000-08-16 2002-11-05 Micron Technology, Inc. Method of forming noble metal pattern
DE10121240C1 (de) * 2001-04-30 2002-06-27 Infineon Technologies Ag Verfahren zur Herstellung für eine integrierte Schaltung, insbesondere eine Anti-Fuse, und entsprechende integrierte Schaltung
US7049245B2 (en) * 2003-09-12 2006-05-23 Promos Technologies, Inc. Two-step GC etch for GC profile and process window improvement
US7678704B2 (en) * 2005-12-13 2010-03-16 Infineon Technologies Ag Method of making a contact in a semiconductor device
US20070161246A1 (en) * 2006-01-10 2007-07-12 Texas Instruments Incorporated Process For Selectively Removing Dielectric Material in the Presence of Metal Silicide
TW200833871A (en) * 2006-11-17 2008-08-16 Sachem Inc Selective metal wet etch composition and process
JP7036001B2 (ja) * 2018-12-28 2022-03-15 三菱電機株式会社 半導体装置の製造方法
CN110661170B (zh) * 2019-08-13 2021-01-08 深圳市矽赫科技有限公司 一种用于制造半导体器件隔离结构的方法及其半导体器件

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4180596A (en) * 1977-06-30 1979-12-25 International Business Machines Corporation Method for providing a metal silicide layer on a substrate
US4128670A (en) * 1977-11-11 1978-12-05 International Business Machines Corporation Fabrication method for integrated circuits with polysilicon lines having low sheet resistance
US4329706A (en) * 1979-03-01 1982-05-11 International Business Machines Corporation Doped polysilicon silicide semiconductor integrated circuit interconnections
JPS55165636A (en) * 1979-06-12 1980-12-24 Chiyou Lsi Gijutsu Kenkyu Kumiai Manufacture of semiconductor device
DE3045922A1 (de) * 1980-12-05 1982-07-08 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen von strukturen von aus siliziden oder aus silizid-polysilizium bestehenden schichten durch reaktives sputteraetzen
US4352716A (en) * 1980-12-24 1982-10-05 International Business Machines Corporation Dry etching of copper patterns
US4362597A (en) * 1981-01-19 1982-12-07 Bell Telephone Laboratories, Incorporated Method of fabricating high-conductivity silicide-on-polysilicon structures for MOS devices
US4389257A (en) * 1981-07-30 1983-06-21 International Business Machines Corporation Fabrication method for high conductivity, void-free polysilicon-silicide integrated circuit electrodes
US4378628A (en) * 1981-08-27 1983-04-05 Bell Telephone Laboratories, Incorporated Cobalt silicide metallization for semiconductor integrated circuits
US4398341A (en) * 1981-09-21 1983-08-16 International Business Machines Corp. Method of fabricating a highly conductive structure
US4414057A (en) * 1982-12-03 1983-11-08 Inmos Corporation Anisotropic silicide etching process
US4411734A (en) * 1982-12-09 1983-10-25 Rca Corporation Etching of tantalum silicide/doped polysilicon structures

Also Published As

Publication number Publication date
EP0126424A3 (en) 1988-01-13
US4470189A (en) 1984-09-11
JPS59217328A (ja) 1984-12-07
EP0126424A2 (en) 1984-11-28
EP0126424B1 (en) 1990-11-28
DE3483659D1 (de) 1991-01-10

Similar Documents

Publication Publication Date Title
JPH0412612B2 (ja)
KR960013135B1 (ko) 캡 산화물을 배치한 티탄 규화물 형성 방법
US5744395A (en) Low resistance, self-aligned, titanium silicide structures, using a single rapid thermal anneal procedure
KR100302894B1 (ko) 이중(dual) 두께 코발트 실리사이드 층을 갖는 집적 회로 구조 및 그 제조 방법
US4425700A (en) Semiconductor device and method for manufacturing the same
JPS58176975A (ja) 集積mos電界効果トランジスタ回路の製造方法
US5130266A (en) Polycide gate MOSFET process for integrated circuits
US5641710A (en) Post tungsten etch back anneal, to improve aluminum step coverage
US4708904A (en) Semiconductor device and a method of manufacturing the same
JP3380086B2 (ja) 半導体装置の製造方法
EP0113522A2 (en) The manufacture of semiconductor devices
KR100212455B1 (ko) 이중 게이트 구조의 반도체 소자 제조 방법
KR100206683B1 (ko) 실리사이드 영역상의 보호 장벽 형성 방법
US5329161A (en) Molybdenum boride barrier layers between aluminum and silicon at contact points in semiconductor devices
KR20030029993A (ko) 잔류물 제거시 보조하기 위한 등방성 저항기 보호 식각
KR100207548B1 (ko) 반도체장치의 제조공정에서 게이트 전극 형성방법
US6156632A (en) Method of forming polycide structures
JPS627165A (ja) 半導体装置の製造方法
US7135407B2 (en) Method of manufacturing a semiconductor device
JP3196241B2 (ja) 半導体装置の製造方法
JPH1064898A (ja) 半導体装置の製造方法
KR100353525B1 (ko) 반도체 소자의 게이트 전극 형성방법
EP0264309B1 (en) Self-aligned base shunt for transistor
KR100340868B1 (ko) 반도체 소자의 게이트 전극 형성방법
JP3352246B2 (ja) 半導体装置及びその製造方法