JPH11504768A - スプリットゲートとソース側注入を用いたeeprom - Google Patents

スプリットゲートとソース側注入を用いたeeprom

Info

Publication number
JPH11504768A
JPH11504768A JP9530947A JP53094797A JPH11504768A JP H11504768 A JPH11504768 A JP H11504768A JP 9530947 A JP9530947 A JP 9530947A JP 53094797 A JP53094797 A JP 53094797A JP H11504768 A JPH11504768 A JP H11504768A
Authority
JP
Japan
Prior art keywords
channel region
region
floating gate
forming
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9530947A
Other languages
English (en)
Other versions
JP4122413B2 (ja
Inventor
グテルマン、ダニエル・シー
サマチーサ、ゲオルギ
フォング、ユーピン・ケイ
ハラリー、エリヤフ
Original Assignee
サンディスク・コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by サンディスク・コーポレイション filed Critical サンディスク・コーポレイション
Publication of JPH11504768A publication Critical patent/JPH11504768A/ja
Application granted granted Critical
Publication of JP4122413B2 publication Critical patent/JP4122413B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • G11C11/5642Sensing or reading circuits; Data output circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • G11C11/5628Programming or writing circuits; Data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5621Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using charge storage in a floating gate
    • G11C11/5628Programming or writing circuits; Data input circuits
    • G11C11/5635Erasing circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • H01L29/42328Gate electrodes for transistors with a floating gate with at least one additional gate other than the floating gate and the control gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • H01L29/7884Programmable transistors with only two possible levels of programmation charging by hot carrier injection
    • H01L29/7885Hot carrier injection from the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2211/00Indexing scheme relating to digital stores characterized by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C2211/56Indexing scheme relating to G11C11/56 and sub-groups for features not covered by these groups
    • G11C2211/563Multilevel memory reading aspects
    • G11C2211/5634Reference cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

(57)【要約】 セクタとして形成されたメモリアレイを備えた半導体EPRONセル(101)であって、各セクタは、単一の列、若しくはその制御ゲート(108)が共通に接続された列の群として形成されている。

Description

【発明の詳細な説明】 スプリットゲートとソース側注入を用いたEEPROM関連出願 本出願は、1994年2月9日に出願された米国特許出願第08/193,7 07号の一部継続出願であり、前記米国特許出願は、1994年5月17日に付 与された米国特許5,313,421号である1992年1月14日に出願され た米国特許出願第07/820,364号の分割出願である。技術分野 本発明は、半導体メモリセル及びアレイに関し、より詳しくは、電気的に消去 可能なプログラム可能リードオンリメモリに関する。背景技術 消去可能なプログラム可能リードオンリメモリ(EPROMs)及び電気的に 消去可能なプログラム可能リードオンリメモリ(EEPROMs)は当業者には よく知られている。これらのメモリデバイスは、データを不揮発形式で記憶する ことができ、一方所望に応じて消去及び再書き込みすることもできる。EEPR OMデバイスは、通常、この集積回路デバイスに紫外線をあてることによって消 去され、EEPROMデバイスは、電気的に消去される。 ある一つの形式のEEPROMデバイスは、「スプリットゲート」電極と呼ば れるものを含み、このデバイスでは、コントロールゲートが、フローティングゲ ートの上に重なる第1の部分と、チャネルの上に直接重なる第2の部分とを含む 。このようなスプリットゲートの構造が、「a5−Volt−Only−Fas t Programmable Flash EEPROM Cell Wit h a Double Polysilicon Split−Gate St ructure」(1 991年2月の、Eleventh IEEE Non−Volatile S emiconductor Workshop,J.Van Houdtらによ る)に開示されており、この構造では、電荷がセルのソース側からフローティン グゲートに注入される。米国特許第4,652,897号明細書には、スプリッ トゲートを用いず、装置のソース側からフローティングゲートへの注入を行うE EPROMデバイスが開示されている。 上述された米国特許第4,652,897号明細書に記載されているように、 メモリセルは、通常、当業者によく知られているようにアレイとして配列されて いる。ある一つの形式のそのようなアレイは、埋め込み拡散を用いており、ソー ス領域及びアレイ領域が絶縁材料からなるかなり厚い層によって覆われている。 これは、例えば、米国特許第4,151,020号、第4,151,021号、 第4,184,207号、及び第4,271,421号に例示されている。その ような埋め込み拡散デバイスは、仮想グランドアプローチを用いており、メモリ セルの第1の列のソースに接続されている列が、メモリセルの隣接する列のドレ インにも接続されている。 多くのEEPROMデバイスでは、多結晶シリコンの2つの層が用いられてお り、一方の層は、フローティングゲートを形成するために用いられ、もう一方の 層はコントロールゲートの形成と電気的な接続部の形成とのために用いられ、他 のEEPROMデバイスでは多結晶シリコンの3つの層が用いられている。例え ば、米国特許第4,302,766号には、フローティングゲートのための第1 の多結晶シリコン層と、コントロールゲートのための第2の多結晶シリコン層と 、セルを消去するときに用いるための第1の多結晶シリコン層の一部に消去窓を 介して接続された第3の多結晶シリコン層とが開示されている。米国特許第4, 331,968号は、また、消去ゲートを形成するための第3の多結晶シリコン 層を用いており、一方米国特許第4,462,090号では、第3の多結晶シリ コン層を用いてアドレスゲート電極を形成している。米国特許第4,561,0 04号及び第4,803,529号はまた、その特定の構成内で3個の多結晶シ リコン層を用いている。 日本国特許公告公報61−181,168号は、フローティングゲートに対す る容量性結合を提供するために3個の多結晶シリコン層を用いている。日本国特 許公告公報63−265,391号では、仮想グランドを用いた、埋め込み拡散 アレイが開示されている。 ヨーロッパ特許出願0373830号には、2つの多結晶シリコン層を用いた EEPROMが開示されており、第2の多結晶シリコン層は2つの部分を有し、 一方の部分は消去機能を提供し、もう一方の部分はステアリング機能を提供して いる。 「A New Flash Erase EEPROM Cell With a Sidewall Select−Gate on its Sourc e Side」(IEDM−89−603、K. Narukらによる)及び米 国特許第4,794,565号明細書には、トランジスタのソース側に設けられ た側壁セレクトゲートを用いたEEPROMが開示されている。 「EEPROM Cell With High Gate Injecti on Efficiency」(IEDM 82−741、M.Kamiyaら による)、及び米国特許第4,622,656号明細書には、セレクトゲートの 下のチャネル領域を高濃度にドープし、フローティングゲートの下のチャネル領 域を低濃度にドープするか反対の導電型にドープすることにより、チャネル領域 の遷移部分での表面の大きな電位差を形成することによってプログラミング電圧 が低減されたEEP ROMデバイスが開示されている。 近年では、より一般的なドレイン側のチャネルホットエレクトロン(CHE)機 構の代わりに、スプリットゲートのソース側ホットエレクトロンプログラミング を用いた、高いキャパシタンスのFLASCHメモリデバイスを製造することに 注目が集められている。 その理由は、本来的により低い書き込み電力(CHEの1/10若しくはそれ 以下の電力)を必要とすることにより、低電圧動作が容易となり、かつ増強され た並列化(パラレリズム)によって、より高い書き込み速度が達成されるからで ある。加えて、スプリットゲートの構造は、「過消去」に関連する問題(ETO Xなどの1つのゲートを用いたFLASCHメモリでの問題)を引き起こさず、 スプリットゲートのCHEプログラミングデバイスでの消去動作の後にプログラ ミングを妨げることのある強い過消去を原因とするプログラミングの困難さを伴 わないということである。 このような利点に基づいて、サンディスク・コーポレイションは、サンディス クの専用の厚い酸化膜と共に集積化されたソース側注入を用いて、及びポリツー ポリ消去トンネル技術を用いて、スケーラビリティ及び信頼性が高く、消費電力 の低いプログラミングセルを達成する(D.C. Guterman、 G. Samachiasa、 Y. Fong and E. Harariによる 米国特許第5,313,421号として)特許付与されたFLASCHメモリセ ル及びそのアレイを有する。 スプリットゲート構造を用いる多重ビット記憶不揮発性セルの主要な概念は、 G.S. Alberts 及びH.N. Kotecha(Multi−bi t storeage FET EAROM cell,IBM Techni cl Disclosure Bulletin Vol.24 No.7A、p.3311、1981年12月)に記載されてい る。この文献には、直列接続された2ポリ3トランジスタ素子セルが説明されて おり、中間のトランジスタのチャネルは、ポリ2コントロールゲート(セル選択 ゲートとしても働く)によって直接制御されており、両端の2個のトランジスタ のチャネルの各々は、対応するポリ1フローティングゲートによって制御されて いて、このポリ1フローティングゲートはコントロールゲートと容量性結合され ており、1つの物理的なセル構造内で複数のビットを実現している。 最近、1994IEDMにおいて、Bright Microelctron icsがHyundaiと共に、非接触型仮想グランドアレイ内に集積化された 同様の二重ビットスプリットゲートセルを提供し、このセルではソース側注入プ ログラミングが用いられている(Y.Y.Ma及びK.Chang、米国特許第 5,278,439号(以下Maアプローチと呼ぶ))。IBMアプローチとの 1つの構造的な相違点は、コントロールゲートを接続する容量性結合が分離して いることであり、この容量性結合はポリ2によって形成され、選択ゲートはポリ 3によって形成されている。 Maアプローチでは、極薄ポリ1ゲート酸化膜(約100Å以下)を介してト ンネル効果によって駆動される「通常の」ネガティブコントロールゲートを用い ている。この消去方法は、幾つかの重大な制限をもたらしている。2つの記憶ト ランジスタのうちの1つを消去する場合、極薄酸化膜を介したドレインへのトン ネル効果に対してフローティングゲートが用いられ、ドレインを7Vにバイアス し、対応するコントロールゲートを−10Vにバイアスすることによって行われ る。これらのラインの両方は、セレクトゲートに直交して設けられているので、 同時に消去されるセルのブロック(例えば、セクタ)がビットラインに沿ってい なければならず、これは、より一般的なワードライン(セレクトゲート)に沿っ たブロックと反するものであり、即ち、このセクタは列に沿ったものでなければ ならず、従って行に沿ったものではない(例えば、セクタは、1つのビットライ ン/拡散領域をまたぐ2列のフローティングゲートからなり、左側セルのフロー ティングゲートペアの右側のフローティングゲートと、右側セルのローティング ゲートペアの左側のフローティングゲートとを含む)。 これによって、Maアプローチに以下のような欠点がもたらされる。 (1)列セクタアーキテクチャに限定される、即ち、より高速の読み出し性能の 行に沿ったセクタアーキテクチャを支持できない(従って1つのセクタ内で、消 去アノードと対応するコントロールゲートとが、行ラインの方向と直交して設け られ、これによって、そのセクタ内の多数のセルに同時にアクセスできる、行に 沿ったセクタの「がっしりとした」並列実施が妨げられる。 (2)以下の制限をもたらす約100Åの極薄のトンネル酸化膜が必要となる。 *利用可能な酸化膜の厚さの制限値を押し上げることに関するスケーリングの限 定と、それに応じた適切な結合容量を保つために必要な面積の増加とであり、こ れはそのような薄い酸化膜の単位面積当たりの本来的に高いキャパシタンスと相 容れないものである。 *極薄酸化膜を、拡散アノードに隣接する基板の強い電界に関連する寄生のバン ドツーバンドトンネリング/ホール注入と共に用いることにより本来的に存在す る無数の潜在的な保持/信頼性の問題。 *バンドツーバンド注入問題を制限し、プロセス及び回路の複雑さをもたらし、 潜在的なレイアウト面積を更に必要とすることをもたらすコントロールゲートに 対する負のバイアスの必要性。発明の開示 本発明の技術に基づけば、ソース側注入を用いた新規なメモリセルがもたらさ れる。ソース側注入によって、非常に微少なプログラミング電流を用いたプログ ラムが可能となる。所望に応じて、本発明に基づけば、列に沿ったプログラムさ れるべきセルが、同時にプログラムされ、これは各セルをプログラムするために 必要な電流が少ないので、どのような所望のプログラミング動作に対しても許容 出来ないほど大きなプログラミング電圧を必要とすることがない。本発明の或る 実施例では、メモリアレイはセクタから構成されていて、各セクタはそのコント ロールゲートが共通に接続された一列の若しくは複数の列のセルから構成されて いる。或る実施例では、ワードラインに対するデータをシリアルにシフトインす るために、行デコーダの代わりに高速シフトレジスタが用いられていて、1つの セクタの各ワードラインに対する全てのデータがそのシリアルローディングが終 了した時にシフトレジスタに含まれている。或る実施例では、パラレルロードバ ッファレジスタを用いて更に高速化が達成され、このパラレルロードバッファレ ジスタは、高速シフトレジスタからパラレルにデータを受け取り、このデータを 書き込み動作の間保持し、次の書き込み動作に用いるための書き込み動作の間に シリアルにロードされたデータをシフトレジスタが受け取ることのできるように する。或る実施例では、ベリファイが1つの列の全てのプログラムされるべきセ ルに対してパラレルに行われ、ビットラインの電流がモニタされる。全てのプロ グラムされるべきセルが適切にプログラムされていると、ビットラインの電流は 概ね0である。ビットラインの電流が検出されたときは、もう一度書き込み動作 がそのセクタの全てのセルに対して行われ、更にベリファイ動作が実行される。 この書き込み/ベリファイ動作は、ビットラインの電流が概ね0であることが検 出されて、ベリファイ が良好に行われるまで繰り返される。 本発明に基づいて構成された新規なセルの目的は、以下のプログラミングの制 限を解消することである。 1.プログラミングに必要とされる高いチャネル電流(電力) 2.消去のレベルが増加すると共に増加する高いドレイン電圧 3.高濃度のチャネルへのドーピングよって増加するプログラミング効率に関連 する読み出し性能の低下 4.プログラミングの目標とされるセルと目標とされてはいないがその電圧が印 加されるセルとを含めたそのバイアス電圧が印加されるセルの高いドレインバイ アス電圧を保持することに関連するプログラムの損耗 本発明の他の実施例では、3ポリ3トランジスタ直列接続セルを用いた複数ビ ットメモリセルが開示されており、中央のトランジスタのチャネルはポリ3コン トロールゲート(セルセレクトゲート及び消去アノードとしても働く)によって 直接制御され、両端のトランジスタの各チャネルが、対応するポリ1フローティ ングゲートによって制御され、このポリ1フローティングゲートは、ポリ2コン トロール若しくはステアリングゲートに容量性結合によって接続されており、こ れによって1つの物理的なセル構造内での複数のビットが実現される。 複数ビットのセルは、2個のフローティングゲート部分に由来して1つのメモ リセル当たり2つのビットを含み、2個のフローティングゲートの各々は、それ 自体のコントロールゲート(仮想グランドアレイでは、ビットラインと平行に延 在する)と、その間に物理的に配置された1つの選択ゲートとを占有する(仮想 グランドアレイでは、ビットラインと直交して延在する)。拡散BN+ソース/ ドレインは、セレクトゲート/トランスファチャネルに隣接する両側のチャネル エッジにおいて2つのフローティングゲートをまたぐ。 1つのフローティングゲートを備えたセルとは異なり、直列接続された2つの フローティングゲートが設けられているので、各フローティングゲートのプログ ラムされた閾値電圧のレベルは、読み出し可能となるように(東芝のNANDセ ルと同じように)その上限値までに制限されなければならない。このようにして 、一方のフローティングゲートのチャネルが、もう一方のフローティングゲート の状態を読み出す間に、その対応するコントロールゲートに適切なバイアス電圧 が印加されて無条件に(即ち、その記憶された状態と無関係に)ターンオンされ る。図面の簡単な説明 第1a図、第1b図及び第1c図は、各々、本発明のある実施例のセルレイア ウト、断面図、及び等価回路を表している。 第1d図は、第1a図から第1c図に例示された複数のセルからなるアレイの ある実施例の平面図である。 第1e図は、適切な制御回路を備えたセクタによって構成されたメモリアレイ のブロック図である。 第1f図は、第1e図に例示されたセクタによって構成されたメモリアレイの 或る実施例の動作を表す図である。 第1g図は、第1a図から第1c図に表されたセルを用いた他の実施例を表す 平面図である。 第2a図は、第1b図と同様の本発明の他の実施例を表す断面図である。 第2b図は、第2a図の断面図に表されたセルを用いて構成されたメモリセル のアレイのある実施例の平面図である。 第2c図は、第2b図に例示されたアレイの構造及び動作条件を表す図である 。 第3図は、第1b図のメモリセルの動作を表すグラフである。 第4図は、第5図のデバイスのチャネルに沿った電界分布を表す図である。 第5図は、本発明の2ポリセルのある実施例の断面図である。 第6図は、本発明の2ポリセルの他の実施例の断面図である。 第7a図、本発明のある実施例に基づいて用いられたプロセスシーケンスの一 部を表す平面図である。 第7b図は、第7a図の平面図に表された実施例の断面図である。 第8図は、本発明の方法に基づいて使用されるのに適した製造過程を表す断面 図である。 第9a図及び第9b図は、各々、本発明の複数ビットのメモリセル構造のある 実施例の平面図及び断面図である。 第10a図は、本発明の1つの複数ビットセルの模式図である。 第10b図は、第9a図及び第9b図に例示されたような本発明の複数ビット のメモリセルのアレイのある実施例を表す回路図である。 第10c図は、第10b図に例示されたセルのアレイの実施例と、ビットライ ン及びステアリングラインの両方のためのセグメントデコードトランジスタマト リクスの回路図である。 第11a図から第11e図は、詳細な平面図及び詳細な断面図である。 第12a図から第12f図は、本発明に基づく複数ビットのメモリセルを製造 するのに用いるために適した製造過程を表す断面図である。発明を実施するための最良の形態 ある実施例のセルのレイアウト、断面図、及び等価回路が、第1a図、第1b 図、及び第1c図に、各々、表されている。第1a図、第1b図、及び第1c図 では、同じ参照番号が用いられている。第1d図の断面図として表された、本発 明の新規なEEPROMセルのこの実施例は、埋め込みソース領域102と、埋 め込みドレイン領域10とを含み、この 領域は、各々、比較的厚い誘電体層104及び105によって埋め込まれている 。チャネル領域106は、2つの部分に分割されており、第1の部分106−1 は、選択ゲートを構成す第3の多結晶シリコン層109の影響を受け、第2の部 分106−2は、第2の多結晶シリコン層からなるコントロールゲート108の 影響を受ける第1の多結晶シリコン層から形成されたフローティングゲート10 7の影響を受ける。当業者には良く知られているように、例えば熱成長された酸 化膜からなる適切な誘電体層が、チャネル106と、多結晶シリコン層109と 、多結晶シリコン層107との間に設けられている。同様に、酸化膜若しくは酸 化膜と窒化膜の組み合わせからなる適切な誘電体層は、3つの多結晶シリコン層 の間に形成されている。多結晶金属シリサイドが、多結晶シリコン層108及び 109の1つ若しくは両方の代わりに用いられてもよい。所望に応じて、高濃度 にドープされたP+領域120が、埋め込みドレイン領域103に隣接したチャ ネル106−2内に設けられてもよい。この領域120は、例えば、チャネル1 06−2を含むメモリトランジスタの閾値電圧Vtを設定するために二重拡散さ れたMOS(DMOS)領域として形成される。これにより、106−1と10 6−2との間のギャップの近傍のゲート酸化膜に捕獲されたたくさんの電荷が、 プログラミングサイクルの数を増加させる場合でさえも、安定した閾値電圧がも たらされる。 第1b図の実施例に関連する動作条件及び動作レベルの1つの例が、表1に例 示されている。高い効率のプログラミングが、フローティングゲートの下のチャ ネル106−2の高電界領域を同時に生み出せることによって達成され、この高 電界領域の同時的な発生は、表1のバイアス条件のもとで、低いチャネル電流を 保持しながらチャネル106−1と106−2との間のギャップの近傍で発生す る(理論的には上述された KamiyaのIEDM出版物を参照されたい)。この高電界は、チャネル10 6−2のソース側の近くのフローティングゲート107への電子の注入を引き起 こすので、このタイプの動作は、「ソース側」注入と呼ばれる。このメカニズム は、高い効率、より低いプログラミング電力を、チャネルの閾値VTp3付近での バイアス動作を用いたワードライン109のスロットリング(throttli ng)による低いチャネル電流を保持することにより、達成する。このタイプの 動作の主な原因は、フローティングゲートの下のフローティングゲートチャネル 106−2内の高い加速状態に対して(実際に電界が髭状に生じている)、プロ グラミング性能を低下させずに高性能の読み出しがもたらさせるということであ る。この原因は、チャネル106−9の選択トランジスタの非常に弱い加速状態 が、上述されたスロットリングによって達成され、ポリ3/ポリ1ギャップの近 傍内に強い電界が形成されるからである。これらの電界は、電子を十分なエネル ギーレベル(例えば、3.1eV以上)に加速し、電子が、フローティングゲー ト107のソース側のSi/Sioのインタフェース障壁を乗り越える。更に、 チャネル106の表面よりも上に電子を加速する電界の成分(即ち、Si/Si o表面に直交する成分)が存在し、従ってフローティングゲート107への注入 が増強される。 この強電界状態を形成することにより、読み出し性能に対する不利益は生じな い。この点は、従来のドレイン側のプログラミングとは明らかな相違をなすもの であり、従来のドレイン側プログラミングでは、高い効率のプログラミングのた めには、強いチャネルの飽和が必要とされ、これにより、フローティングゲート のチャネルの高電界による駆動、強い過消去、若しくは弱くターンオンされた直 列接続された選択トランジスタが回避されてきた。これらのドレイン側のプログ ラミングに関する 問題点によって、大きいチャネル電流、過消去に対する配慮、潜在的な高いドレ イン電圧、及び望ましくない電界(ドレイン側の表面の下のチャネルを除去する 可能性があり、電子をフローティングゲートから下向きに駆動する電界)がもた らされていた。 従って、本発明の技術に基づけば、10-5から10-3の範囲のプログラミング 効率(IG/ID)が可能であり、プログラミングの間にIDは1mAの程度であ り、これは、従来のドレイン側のプログラミングに比べ2桁若しくは3桁小さい 値である。これによって、100倍の個数のメモリセルをパラレルにプログラミ ングすることができ、非常に高速なシステムレベルのプログラミングの可能性が もたらされ、従って従来のドレイン側のプログラミングに比較して100倍の有 効なプログラミング速度が達成される。 本発明のセルの主な特徴は、選択機能を(この場合は第1b図のポリ3選択ト ランジスタ110を)、ステアリング機能(ポリ2のコントロールゲート108 )から切り離した点にある。これにより、プログラミングの間、ポリ3ワードラ イン109(VTp3よりも僅かに高い値にバイアスされた)のバイアスを介した セルのセルのセレクト/ドレイン電流のスロットリングの制御が別個に行われ、 フローティングゲート107での強い正の電圧結合(ポリ2コントロールゲート 108の電位を例えば約12ボルトの高い電圧に上昇させることによる)が可能 となる。更に、本発明の技術に基づけば、ドレイン電圧が、ステアリング及びセ レクトトランジスタの電圧レベルと別個に調節されるので、プログラミングを最 適化できる。 読み出しの間、本発明のデカップリング(切り離し)構造によって、2つの重 要な利点と、1つの魅力的な副次的効果がもたらされる。 1.コントロールゲート108を、メモリの状態の検出のために最適な電圧レベ ルに設定できること、即ち、プログラムされた状態と消去された状態の両方に対 する最も平衡のとれた参照(レファレンス)ポイント。この独立性は、従来のセ ルと対照的であり、コントロールゲートは、セレクトトランジスタとしても働き 、セレクト(選択)と一致する電圧レベル(例えば、Vcc=5v±10%)を 設定する。 2.固定された(一定に保たれた)参照電圧(リファレンス電圧)によって改良 された余裕が、ワードラインのバイアスレベルに対して本質的に±10%のVc cの変動を除去すること(このことのみによっても、フローティングゲートのメ モリのウィンドウを約0.6ボルト改善できる)。 3.上述されたコントロールゲートの電圧バイアスを別個に設定できることの副 次的な効果は、複数の状態に(即ち、従来の2つの状態以上に) エンコードされたデータに対するメモリセルを再び参照するための簡単な方法が 可能となるということである。例えば、セルが3つのレベルの状態にエンコード されていた場合(論理1:強く消去された/高い導電性の状態、論理2:部分的 にプログラムされた/弱い導通状態、論理3:強くプログラムされた状態)、コ ントロールゲートの電圧は、2パス読み出し方法によって2つの異なるレベルに 設定される。例えば、第1のパス読み出しでは、コントロールゲートの電圧は、 およそ0ボルトに設定され、論理1の状態と、論理2若しくは論理3の状態とを 識別する。第2のパス読み出しでは、コントロール/ゲート電圧が、約2ボルト に設定され、論理3の状態と、論理1の状態若しくは論理2の状態とを識別する 。この2つのパス読み出しの情報を組み合わせることにより(例えば、表2に基 づいて)、3状態セルのはじめの状態が復元される。このバイアスは、センス増 幅器の基準セルを考慮せずに行えるので、1つのセンス増幅器/リファレンスセ ル回路が、複数のパス読み出し方法によって、異なる状態を検出することができ るようになる。 表1に例示された消去動作/バイアス条件に対する2つのオプションが、2つ の異なる集合の考察から生ずる。第1のオプションは、ポリ2コントロールゲー ト108を強い負の電圧に到達させ、ポリ3ワードライン109を低い電圧(例 えば、0Vから5V)に保持する。これは、望ましいことであり、その理由はワ ードラインとそのデコーダとが、高い性能を有するものであることが望ましく、 かつ高い密度で設定された要求のもとで何度も繰り返され、高い電圧のワードラ インを要求することがより困難となり、実際の資源が消費されるからである。一 方、ポリ2コントロール若しくはステアリングゲート108は、複数のワードラ インに対して共通にされ(例えば、1つのセクタが4個若しくはそれ以上のワー ドラインを有し)、実際の資源に対する要求を少なくし、性能に対する影響を最 小にする。このアプローチの生じうる得る欠点として、正及び負の極性の高い電 圧の回路を保持するためのプロセス及びデバイスに対する必須要件と、チャネル がグランド電位に若しくはグランド電位の付近に保持されていることによりステ アリングを増強出来ない(即ち、大きい負の電位にすることができない)といっ た低減されたステアリングの効率とがある。 ポリ2は、3つの動作の全ての間でステアリング電極としてのみ用いられると いうことが注目される。ポリ3は、Xデコーダに接続されたワードラインであり 、(消去オブション以外では)0Vから5Vのみを検出し、そのキャパシタンス はかなり小さい値にされている。書き込み及び消去動作は、読み出し動作に比べ 低速であり、DC電流をドレインに流す必要がないので、ポリ2に+5Vと−1 7Vを与えることは比較的容易である。−17Vは、消去動作において高い電圧 のPMOSを必要とせず、ポリ3に+5Vを与えることが、消去動作の間にポリ 2に必要とされる最大の負の電圧を低減することを援助する。 消去動作のために高い電圧のワードラインのバイアスを用いる第2のオプショ ンは、上述された2つの起こり得る欠点を解消するが、しかし、高性能の高密度 で配置されたワードライン/ドライバに、高い電圧の必要性を与える。 第1d図は、第1a図から第1c図に関して説明されたように構成された複数 のセルからなるアレイの或る実施例の平面図であり、等しい参照番号が用いられ ている。図示されているように、チャネルストップ領域には参照符号180が付 されている。 第1e図は、第1d図の平面図に例示されたものと同様のメモリアレイのブロ ック図であり、適切な制御回路を備えたセクタによって構成されている。セクタ によって構成されたそのようなメモリアレイのある実施例の動作が、第1f図に 例示されており、以下の記号が用いられている。 FLT=フロート VDE=ビットライン消去電圧 VWE=ワードライン消去電圧 DI=データイン DIV=ベリファイ動作中のデータイン VCEU=選択されていないコントロールゲートの消去電圧 VCE=選択されたコントロールゲートの消去電圧 S.A.=センス増幅器 VCM=コントロールゲートの余裕電圧(ベリファイ動作中の) VCP=コントロールゲートのプログラミング電圧 VCR=コントロールゲートの読み出し電圧 VCE=コントロールゲートの消去電圧 第1e図及び第1f図に例示されているように、この実施例では、セ クタは、共通に接続されたコントロールゲートを備えた列の集合若しくは1つの 列によって構成されている。これによって、行デコーダの代わりに高速シフトレ ジスタを用いて、ワードラインに対する列データの全体のブロックに、シリアル ローリングの完了時にシフトレジスタに保持されている各ワードラインに対する データを、シリアルにシフトインすることができる。そのような高速シフトレジ スタを用いることにより、通常は1つの行デコーダによって実行される2つのエ ンコーディング及びラッチ機能を行うことによって、集積回路の回路面積を節約 することができる。更に、高速シフトレジスタからパラレルにデータを受け取り 、書き込み動作の間にそのデータを保持するパラレルロードされたバッファレジ スタを含むことによって、速度が改善される。書き込み動作が、バッファレジス タ内に記憶されたデータに基づいて行われている間に、高速シリアルシフトレジ スタは、次の書き込み動作のためにバッファレジスタへ続いて伝達されるデータ の次のブロックを受け取る。本発明の或る実施例では、各セクタは、複数のタグ された(tagged)セクタの消去のための準備中のセクタを、タグするための関連 した1つのラッチを有する。 本発明のある実施例では、セクタは4個のセルの列からなる集合として形成さ れており、各列は共通のコントロールゲート及び対応するセクタラッチとを備え た線24ビット長を有する。この実施例では、プログラミングのベリファイは、 1つの列の全てのプログラムされるべきセルに対してパラレルに実行される。論 理ゼロ状態のセルは、0Vのワードラインを有し、論理1状態のセルは、正の電 圧、例えば5Vのワードラインを有する。コントロールゲート及びドレインの電 圧が、ベリファイレベルまで低下され、適切な余裕のテストが行われ、ビットラ インの電流がモニタリングされる。プログラミングされるべき全てのセルが適切 にプログラムされているとき、ビットラインの電流は0もしくは概ね0となる。 ビットラインの電流が0もしくは概ね0ではないとき、その列内のプログラミン グされるセルの1つもしくは複数が適切にプログラミングされていないことがわ かり、もう一度書き込み動作が列全体に実行され、書き込まれるべきセルのうち の正しくプログラムされていないセルに再び書き込みが行われることが確実とさ れる。もう一度ベリファイ過程が行われ、その列が適切にプログラムされたこと がベリファイされる。 第1B図の断面図に表された構造を製造するのに適した過程のある実施例が以 下に説明される。この実施例は、仮想グランドを用いたときアイソプレーナ酸化 膜を用いる必要がないので非常に小さい面積内で実行することができ、これによ り拡散領域もしくは多結晶シリコンによって覆われていないその他の部分内にア イソレーションインプラントを配置することができ、ポリ1によって覆われてい ないフィールド絶縁領域内のSAMOSに関連する基板がへこむ可能性が除去さ れる。これは、例えば、以下の方法によって達成される。 1.縦方向の帯状部分内にBN+ビットラインを形成する。BN+の上に約150 0Åの酸化膜を形成し、さらに約200から300Åのゲート酸化膜を形成する 。 2.第7A図及び第7B図に例示しているように、ポリ1を適切な導電率で形成 し、BN+拡散領域と直交する横方向の帯状部分としてエッチングする。ポリ1 の隣接する帯状部分の間の空間を、CVD方法及びそれに続くエッチバックによ って形成された酸化膜で満たす。この方法は、フィールド絶縁領域を保護し、所 望に応じて、あらかじめ、ボロンのチャネルストップが注入されていてもよい。 上述された過程の過程1及び過程2の代わりに行われる過程として、 初めに絶縁酸化膜からなる横方向の帯状部分を形成し、次に、絶縁酸化膜の隣接 する帯状部分の間の横方向の溝を、P1を形成し次にRIE内でエッチバックす ることによって、充填しかつ平坦化する過程が用いられる。 3.ポリ1の帯状部分を覆う、約300から500ÅのONOなどの厚い誘電体 層140を形成する。 4.ポリ2を形成し、適切な厚みの誘電体層(例えば、CVDによって高密度に 形成された約2000から3000Åの酸化膜)を形成する。この酸化膜及びそ の下のポリ2を、ビットライン(BN+)の拡散領域と平行な長寸の縦方向の帯 状部分としてエッチングする。 5.ポリ2のエッジに沿って酸化膜スペーサ62を形成し、露出されたポリ1( すなわち、ポリ2もしくはスペーサによって覆われていないポリ1)をエッチン グにより除去することで、フローティングゲートを画定するためにこれらのスペ ーサのエッジを用いる)。 6.1989年3月15日に出願された米国特許出願第323,779号に開示 されているような通常の方法で、ポリ1の露出されたエッジの上にトンネル消去 酸化膜と、セレクトトランジスタのチャネルの上にゲート酸化膜を形成する(第 1B図のチャネル106−1)。 7.ポリ3もしくはポリシリサイドを形成し、横方向の帯状部分としてワードラ インを形成する。 予め形成された埋め込み拡散を用いない仮想グランドのセルを達成するための 他の実施例が、以下に説明される。ステップ1のBN+の代わりに、ステップ6 の後にフォトレジスト(PR)によってマスクされた砒素ソース/ドレインイン プラント103aが用いられ、これは、ポリ1(107)の積層体が形成された 後に、ポリ2の一方のエッジと自動整合しているが、もう一方のエッジに沿った 注入されていない領域をポ リ3によって制御された選択トランジスタのチャネルとして残す(第8図)。以 前にポリ1の帯状部分の間に形成された絶縁酸化膜は、基板に凹みを形成しない ように自動整合されたポリ2/1の積層体のエッチングに耐えるだけの十分な厚 みを有するが、この積層体のエッチングの後に、ソース・ドレインの注入のため に基板を露出するよう除去されるように薄いものである。これによって、横方向 のスケーリングを容易にする砒素の接合部の熱的な駆動を低減するという利点が もたらされる。本発明のその他の過程は、前述された実施例と等しい。 要約すると、本発明の新規のセルによって以下の利点がもたらされる。 *非常に小さいプログラミング電流 *高い電圧の必要性を除去する低いプログラミングのためのドレイン電圧 *高いレベルの消去に対するプログラム可能性の免疫 *プログラム状態と消去状態の両方に対する最適化された読み出しのためのメモ リ状態の調節可能性 *ステアリング要素のばらつきを±10%のVccの感度まで減少させたことに よる改良された余裕 *全く低い電圧のワードライン/デコーダの実現可能性 *複数の状態のセルの検出を容易にしたこと *ドレイン領域での分離された閾値制御領域を設けることによる、捕獲によるホ ットエレクトロンのソース側のプログラミングの発生の低減 第1d図に例示されたものと等しいが、行に沿ったセクタ構造を形成するため に第1b図に例示されたセルの実施例を用いている第2のアレイの実施例が、第 1g図に例示されている。1つのセクタは、この場合には4個の行からなる集合 から構成されていて、これらの行は同時に消去される。この行に沿ったセクタ構 造に対して、消去動作では表1のオ プション2が用いられ、セクタの全てのポリ3ワードラインを高い電圧に駆動す る。ポリ2ステアリングゲートは、N個のセクタからなる1つの集合において共 通であり、ここでNは、1から、メモリアレイの全体の寸法までの値をとる。同 様に、BN+列は、アレイの全長にわたって連続して広がっているか、より短い 長さの、ローカルな列の集合に分割されていてもよい。これらは、さらに他のレ ベルのデコーディングによって駆動されているセレクトトランジスタを介してグ ローバルな(アレイの全長の)列に接続されている。ローカルな列は、1からN 個までのセクタからなる。好ましい実施例では、ローカルな列は、ポリ2ステア リングゲートと等しい個数のセクタに亘って広がっている。ローカルな列及びポ リ2ステアリングゲートにがまたがったセクタの好ましい個数Nは、およそ8で ある。この理由は、Nが8に満たない場合、ローカルな列のセクションのデバイ スと、ポリ2ステアリングゲートのルーティングとの重なる面積が、アレイ上に 配置されたセルの面積に対して高い割合を占め、Nが8よりも大きい場合に、ロ ーカルな列とポリ2ステアリングゲートとを備えている利点が打ち消されるから である。 これらの利点は、(1)ビットラインのキャパシタンスが低減されることによ り、読み出し性能が改善されること、(2)Nセクタグループ内の1つのセクタ をプログラミングする時に、選択されていないセクタにドレインとステアリング 電極の高い電圧が繰り返し印加されることが低減され、それに関連する潜在的な 妨害的な現象が低減されること、(3)アレイに関連する故障の制限が高められ、 これによりそれら故障を直すための効率が増加すること、である。読み出し若し くはプログラミングの間の読み出し、プログラミング、及び選択されていない状 態が、表1に表されている。選択されたセクタ内の選択された行のポリ3ワード ラインは、導通状態となり、読み出し動作では5V、プログラミングのた めにはおよそ1Vとなる。同時に、ドレインとソース間のバイアス状態が、その 列に与えられ、プログラミングのためには約5Vの、読み出しのためには約1. 0から1.5Vの状態となる。ある実施例では、1つの選択された行のその他の ビットも同時にプログラムされ、これにより、1つの選択された行内の全てのビ ットが、2つのプログラミング動作を用いてプログラムされるようになる。同様 に、この他の実施例では、選択された行の他のビットが、同時に読み出し(ベリ ファイ)され、これにより選択された行の全てのビットが2つの読み出し(また はベリファイ)動作を用いて読み出される(ベリファイされる)ことができるよ うになる。 そのセクタの1つの行の読み出し若しくは書き込みが終わると、次の行が選択 され、同様なことが、セクタの最後まで繰り返される。このようにしてもたらさ れた行に沿ったセクタ構造及びアレイ動作は、第1の実施例の列に沿ったセクタ 構造よりもより一般的であり、従って、その動作もより伝統的なものである。本 発明の両方の実施例は、それ事態の消費電力は低く、しかしその代わり、行に沿 ったセクタ構造の実施例は、並列書き込み及びベリファイ機能を支持するための データレジスタによる十分な補充が必要となる。 第2a図は、埋め込まれた形式の領域を用いていない本発明の他のアレイの実 施例を表している。即ち、ソース領域102とドレイン領域103とは、通常の 方法によって形成され、第1b図の実施例におけるように厚い誘電体層によって 埋め込まれてはいない。第2aでは、典型的なアレイ構造の断面に沿った複数の メモリセルが例示されており、第1b図の対応する構造に対応する参照符号が、 これらの要素に付されている。表3は、この第2a図の実施例に適した動作条件 の1つの例を表している。これは、埋め込み拡散セルに比べより伝統的なセルの 製造方法 であり、ソース/ドレイン拡散領域は、全ての多結晶シリコン構造が形成された 後に形成される。この方法では、2個のセルごとに金属ビットラインに対する1 つのドレイン接触部が必要となり、同じレイアウトの埋め込み拡散セルに比べ約 30%から50%大きくなる。その他の点では、この第2の実施例は、第1b図 の埋め込み拡散型の実施例に対して述べられたものと等しい利点を提供する。 第2b図は、第2a図に関して説明されたメモリセルのアレイのある実施例の 平面図である。 第2c図は、適切な動作条件と電圧とが、示された、セクタ内の1つのメモリ アレイとして構成されたものを表す等価回路である。1つのセクタとして構成さ れたアレイに対する好適な実施例は、対応するポリ2ステアリングゲートとソー スラインに沿った、1つのセクタの部分としてのソースラインをまたぐ2つのワ ードラインを用いている。全体の1つのセンタは、そのような組み合わせ(即ち 、各々のワードラインが、128のバイトのオーバヘッドセルを含み、2つのソ ースラインをまたぐ、そのようなペアの2つ、若しくは4つのワードラインが、 1つのセクタを構成する)の複数のものから構成されている。 第2c図の実施例に表されているように、ステアリングラインは、ソースライ ンのように、1つのセクタ(即ち、同じ集合に分類されかつ共通のドライバによ って駆動されている行ラインからなる1つのセクタ)内で互いに接続されている 。ここで説明されている実施例は、書き込まれるべきセクタへの書き込み動作を 制限し、ビットラインのバイアス条件(読み出し動作の時には2.5V、可能ま 書き込み動作の間には約5V)が、セルに対して妨害をすることがなく、その理 由は、このバイアスがセルの選択トランジスタ側に供給され、フローティングゲ ート側に供給されないからである。2状態のセルでは、セルを論理1に書き込む ために、ビットラインは0Vに保たれ、セルがソース側注入によってプログラム される。逆に、書き込みを禁止するためには、ビットラインが高い電圧(通常は 約5V)に保たれ、これによりチャネルが遮断(カットオフ)され、セルが消去 された状態に保たれる。 セクタの消去は、選択されたセクタをタグ(tag)し、対応する行ラインを フローティングゲートが所望の消去されたレベルに消去されるのに十分に高い電 圧まで上昇させて行われる。 ソース側注入に関連する低いプログラミング電流(セルごとに約1から5μA )のために、密度の高い並列プログラミングが実現され、例えば、約1000個 の行全体のセルが、約1から5nA未満の全体の電流での一回の動作でプログラ ムされ、これにより、従来のドレイン側プログラミングアレイに比べ100倍も 効率の高いプログラミングが行われる。 第3図は、選択トランジスタ110のVP2をその閾値電圧に保った状態での、 ポリ1ゲート電圧(VPOLY1)の関数としての第1B図のポリ1ゲート107へ のゲート電流(その測定を可能にするために第3図のテスト装置ではフロート状 態にされていない)を表すグラフである。このように、第1図のチャネル106 内での電圧降下のほとんどは、セレクトトランジスタ110のゲート109のチ ャネル部分106−1で生じ、このチャネルで加速された電子が、フローティン グゲート107へ注入される。第3図から、この装置のホットエレクトロンプロ グラミング注入の効率が、著しく高いということがわかる。 第1A図から第1B図の実施例に基づく構造を製造するために適した方法の様 々な実施例が以下に説明される。本発明の出願人であるサンディスクに譲渡され た継続中の米国特許出願第323,779号(1989年3月15日に出願され 、米国特許第5,070,032号として付与された)が参照される。本出願の 明細書中で上述された製造方法もまた参照される。使用されるスターティング基 板は、例えばP型基板(もしくはN型基板内のP型ウェル領域)である。酸化膜 が形成され、次に窒化シリコン膜が形成される。次に、窒化シリコン膜がパター ン化されて、N+ソース領域及びN+ドレイン領域が形成される部分を露出する 。次に、N+ソース領域及びN+ドレイン領域が、例えば約1×1020cm-3の 濃度で砒素のイオン注入によって形成される。次に、このウェハが酸化されて、 酸化膜104及び105が形成され、ソース領域及びドレイン領域102及び1 03が埋め込まれる。第2A図の実施例では、この酸化過程が用いられる。した がってソース領域及びドレイン領域が埋め込まれないことに注意されたい。その かわり、このソース領域及びドレイン領域は、従来のように、全ての多結晶シリ コン層が形成された後に形成される。 次に、窒化膜の残りの部分が除去され、チャネル領域106−1及び106− 2を覆う酸化膜が除去される。チャネル領域106−1及び106−2を覆う、 例えば、厚さ150Åから300Åの新たなゲート酸化膜が形成され、所望の閾 値電圧(例えば、約−1Vから+1V)に注入されて形成される。次に、多結晶 シリコンがウェハの上に形成されてパターン化され、フローティングゲート領域 107が形成される。 所望に応じて、多結晶シリコンが水平方向のストリップ(帯状部分)としてパ ターン化され(第1A図の方向に)、第2の多結晶シリコンのパターン化と同時 に水平方向に延在するパターンとして形成される。これについては以下に説明さ れる。多結晶シリコン層107の形成の後に、酸化膜もしくは酸化膜/窒化膜の 誘電体層が多結晶シリコン層107の残りの部分の上に形成される。 次に、第2の多結晶シリコン層108が形成され、例えば約30Å/cm2の 所望の導電率にまでロープされる。次に、第2の多結晶シリコン層が(再び、第 1A図の方向で)縦方向のストリップとしてパターン化される。横方向の多結晶 シリコン層107があらかじめ形成されていない場合、このパターン化過程は、 第1の多結晶シリコン層が第2の多結晶シリコン層のパターン化と同時にパター ン化される領域内で第1の多結晶シリコン層と第2の多結晶シリコン層との間の 誘電体層を除去するためにも用いられる。第1のパターン化の後に、ウェハの上 にさらに誘電体層が形成され、チャネル領域106−1の上と、第3の多結晶シ リコン層からゲートが形成されるシリコン基板内の他の任意の領域の上にゲート 絶縁膜が形成される。 次に、これらの領域に、所望の閾値電圧(例えば、約0.5Vから1.5Vの )まで注入が行われる。次に、トランジスタのための第3の多結晶シリコン層( 200Åから500Åの範囲の厚みの)が、形成され、 例えば20Å/cm2の適切な導電率までロープされる。次に、多結晶シリコン 層109がパターン化され、ワードライン109が形成される。 本発明のある実施例では、多結晶シリコン層107がパターン化され、横方向 のストリップが形成され、チャネルストップのドーパント(例えばボロン)が多 結晶シリコン層107の間で露出された領域に注入され、メモリアレイの隣接す る行の間の高い閾値電圧のチャネルストップ領域が形成される。 チャネル106−2と多結晶シリコンのフローティングゲート107との間の ゲート絶縁膜の厚みは、性能のトレードオフに応じて、およそ150Åもしくは それ以下の厚みから、およそ300Åもしくはそれ以上の厚みの範囲で変更され る。読み出しのための強いドライブのためには、薄いゲート酸化膜が望まれ、多 結晶シリコンと、シリコン制御ゲート108及びフローティングゲート107と の間の強い結合(プログラミング中には望ましい)のためには、より厚いゲート 酸化膜が望まれる。第2の実施例 第5図は、2ポリの実施例を表しており、この実施例では、プログラミングは 、ドレイン303を高い電位に、例えば約10Vにし、コントロールゲート30 8をセレクトトランジスタ310をターンオンさせるための最低の電圧に上昇さ せることによって、行われる。このVCG電圧は、用いられるデバイスに応じて変 化できるので、最適な注入条件が、VCGを約3Vに保ち、かつソース(仮想グラ ンド)302を、約1ミリ秒のオーダーの周期で、約0から3Vに上昇させそし て再び0Vに減少させるノコギリ刃状に変化させることによって、達成される。 これにより、このノコギリ刃状のうちのいずれかの値の電圧で、最適な注入状 態が得られる。1989年8月12日に出願されたヨーロッパ特許出願第893 12799.3が参照される。さらにプログラミング の効率を増加させるために、ある実施例では、プログラミング効率インプラント 330(波線で表されている)がソース側に導入される。デバイスを読み出すた めに、ソース領域は0Vに、ドレイン領域は約1.0Vに、VCGは約4.5から 5Vにされる。消去するために、フローティングゲート307(ワードライン3 08内の)の間のポリ1−ポリ2トンネリングを用い、このポリ1−ポリ2は、 トンネル酸化膜(400Åから700Å)に対応するフローティングゲート30 7のエッジ、側壁、上部エッジの隅部、上部の一部、及び底部の一部のうちの1 つもしくは複数からなる。消去は、約12から22VのVCG、0VのVB、0V のVSによって行われる。容量性結合誘電体層(約1500Åから2000Åの 厚みの)340が、ポリ1の上部の上に形成され、ポリ1とポリ2との間のキャ パシタを減少させる。 本発明のある実施例では、強い電界領域が、従来のデバイスではドレインの付 近に配置された反転フィールド領域から隔てられたチャネル内に形成されている 。これは、例えば、チャネル306−1及び306−2(フローティングゲート 307の下の)の間の境界での高いドーパント濃度の領域330を用いることに よって達成される。ある実施例では、この領域330の幅は、0.1μmのオー ダーである。領域330の寸法をより大きくすると、逆の効果を招き、効率を高 めることなくセレクトトランジスタの駆動能力を低減させることになる。 第4図は、P+領域330を伴うもしくは伴わない構造のチャネル306−1 及び306−2に沿った電界分布を表している。領域330を伴わない構造及び セレクトトランジスタが不適切にバイアスされた構造では、電子の注入は、ドレ イン303の付近の高い電界の領域内で起こる。ドレイン303の近くの逆の垂 直な電界の領域のために、結果としてもたらされる注入効率が低減される。領域 330を伴う構造では、注 入は、この逆の垂直な電界の領域から遠ざけられた領域330に配置された強い 電界の領域内で起こる。このために、高い注入効率が達成さる。 製造の観点から、適切に解決されなければならない3つの問題点が存在する。 1.ドープされていないシリコンよりも早く酸化されるBN+の上に十分薄く 高品質のゲート酸化膜を形成すること。 2.ポリ1と埋め込みN+ドレイン拡散領域との間の不整合が、プログラミン グ及び消去のための結合率に強く影響を及ぼすこと。これは、仮想グランドアレ イを用いずに代わりに占有されたソースアレイを用いることによって、セルの面 積を増加させるという犠牲の元で克服される。 3.このアレイは、専用に用いられるソース構造内の埋め込みN+拡散領域を 完全にフローティングゲート307が覆うことを可能にするが、この整合の感度 を低下させる。さらに、このアレイは、チャネル306−2から離れる方向にB N+を越えて延在するポリ1が隣接するセル内のトランジスタを形成することを 妨げることになる、BN+に隣接する特別な絶縁用の空間を必要とする。 埋め込み拡散領域に沿った方向のセルの寸法を小さくするために、隣接するセ ルの間にチャネルストップ絶縁領域が用いられ、さらにポリ2とポリ1とを同時 に線引するための自己整合された積層体のエッジが用いられる。これは、隣接す るセルの間に露出されたポリ1をエッチングするとき、基板だけでなく露出され たBN+をもへこませずに行うことは難しい。これは、特に、ポリ1を露出する ために、ポリ1の上面の絶縁酸化膜(1500から2000Åの厚みの)をエッ チングするときには避けることが難しく、その理由は、ポリ1によって保護され ていない基板もまた露出されており、ポリ1がエッチングされるとき、これらの 領域内の基板もへこまされるからである。 したがって、ポリ2ワードラインの間の空間内の基板を保護するための厚い絶 縁領域をフィールドアイソレーションプロセスの一部として形成することが必要 とされている。これは、本件出願の出願人であるサンディスクに譲渡された19 89年3月15日に出願された米国特許出願第323,779号明細書に開示さ れた方法を用いて行われる。この方法は、実際、溝絶縁を形成するものであるが 、この溝に当接するBN+を伴うものであり、このBN+導電体の一部の損失と同 様に大きな接合時のリークを覚悟しなければならない。この第2の実施例のセル は、魅力的なものであり、その理由は、このセルが、二重ポリ、低プログラミン グ電流、超高速プログラミング、ドレイン接合部から離れたプログラミング、小 型かつスケーラブルなセルであるからである。セルの寸法は、3つの代表的な形 状に対して以下に示されているような極めて魅力的なものである。 1.0mジオメトリ:セル=4.0×2.0=8.0m2 0.8mジオメトリ:セル=3.2×1.6=5.2m2 0.6mジオメトリ:セル=2.3×1.2=2.8m2 第3の実施例 第6図は、埋め込まれたN+ドレイン403との強い結合によって援助された 、プログラミングにソース側注入を用いる、第2のコントロールゲートとして働 く2ポリセルの他の実施例の断面図を表している。消去は、薄いポリスペーサを 用いて例えば約100Åの厚みで形成された小さな薄い酸化領域を通してチャネ ル406へのホーラー・ノードハイムのトンネル効果によって行われる。これら のプロセスは、以下のようになる。一旦ドレイン酸化膜(すなわち、ドレイン4 03の上の酸化膜)が形成されると、第1のポリ層(約2000Åから4000 Åの厚み)が堆積され、薄い窒化膜絶縁層がその上に堆積される。これらの層は 、 次に、ポリ1マスクを用いて、エッチングされて、ポリ1の横方向に延在する部 分(第6図に例示されている)が線引される。次に、第2の窒化膜が堆積され、 下側の酸化膜が異方性でエッチバックされ、ポリ1の上部の初めの窒化膜と、ポ リ1の側壁に沿った窒化膜スペーサとが残される。これが、次の酸化過程でポリ の側壁を保護し、以下に説明されるように電気的な接続部が形成される。次に、 基板のチャネル部分の上の露出された酸化層が除去され、トンネル効果のために 必要な厚さ100Åまで再成長され、一方、フォトレジストでマスクされたパタ ーンが、ポリ1の露出されたBN+側の上の酸化膜を除去されることから保護す る。ポリ1を取り囲む窒化膜が、そのポリ1の上に酸化膜が形成されることを防 ぐ。次に、薄い窒化膜が、100Åの厚みのトンネル酸化膜に化学的作用を及ぼ したり浸食することのない選択性の高いエッチング(例えば、高温のリン酸を用 いたエッチングもしくはプラズマエッチング)を用いてエッチングにより除去さ れる。次に、その上面及び側壁で第1のポリと電気的に接触する第2のポリが堆 積される。この構造は、次に、等方性多結晶シリコンエッチングを用いてエッチ ングされ、このエッチングは、第2の堆積されたポリ層の下の基板の上の酸化膜 が再び露出されるまで行われる。これによって、第6図に例示されたポリ1フロ ーティングゲートの帯状構造が形成される。残りのプロセスは、第2の実施例の ものと同様である。 この実施例では、プログラミングは、ドレイン403を約+8Vに保ち、コン トロールゲートを約1.5Vに保った状態で、グランドに接続されたソースの拡 散402から注入されたホットエレクトロンによって行われる。かわりに、プロ グラミングは、第2の実施例に関してすでに説明されたように、0Vから約3. 5Vのピーク電圧値の範囲で変化するノコギリ刃状のコントロールゲート電圧を 用いて、ソースの拡散40 2からのホットエレクトロンを用いて行われる。読み出しは、VDS=1.5V、 VS=0V、VCG=+5Vに於いて行われる。消去は、VCG=−22V、VS=V d=0Vに於いて行われる。この実施例では、ポリ2ワードライン408は、読 み出し動作の間+5Vであり、消去動作の間−22Vであり、これによりXデコ ーダがこの目的で動作することになる。結合に関する問題が、CP2P1>CP1Bで あることを必要とし、これはプログラミングのためには好ましくない。したがっ て、セルは、最適な転写に対する酸化膜の厚さとフローティングゲートの閾値電 圧とを調節することによって、プログラミングに対する消去のバランスを保つよ うに最適化されなければならない。ポリ1の方向のセルの間のフィールド領域の くぼみに関する問題はない(その理由は、ポリ1とポリ2の酸化膜もしくはON Oが薄いからである)。これによって、第2の実施例で説明されたさらに形成さ れる厚い酸化膜フィールド領域が必要ではなくなる。しかしながら、厚い酸化膜 領域と、この厚い酸化膜領域をソース拡散領域から十分遠くに配置するために必 要な特別のスペースとを形成するためのプロセスの複雑さが存在する。他の動作方法 上述された実施例で説明されたソース側注入のセルをプログラミングするため に、様々な他の方法が可能である。第2の実施例及び第3の実施例では、強い容 量性結合(例えば、薄いONOを用いた)が、プログラミングのために、ポリ2 とポリ1との間、及びポリ2とドレインとの間に、各々、必要とされる。動作の 間、ある実施例では、5Vから7VのVDと、0VのVSとが供給され、コントロ ールゲートの電圧VCGが、コントロールゲートのチャネルをターンオンさせる値 に上昇され、VP2が約12V以上まで上昇される。代わりに、ソースボディ効果 が用いられてもよい。この他の実施例では、コントロールゲートの電圧を、 チャネルを導通状態とするための特定な値にする代わりに、コントロールゲート がチャネルを導通状態にするための最低限の値よりも高い値(例えば、1V高い 値)にされ、およそ1μAの電流をソースの逆バイアスによって供給するための プルダウン回路(例えば、高インピーダンスの抵抗もしくは電流シンク)が用い られる。代わりに、コントロールゲートの電圧VCGが、ヨーロッパ特許出願第8 9312799.3号に関して上述されたように0Vから約+3Vの間のノコギ リ刃状に動作されてもよい。複数ビットのセル 本発明の他の実施例では、第9A図及び第9B図に例示されているように、複 数の分離ゲートセルを含む新規な構造が開示されており、この構造では、ソース 側注入のプログラミングと、消去のためのポリツーポリトンネリングとが用いら れている。以下の説明は、本発明のそのような構造のある実施例の動作について さらに詳しく説明したものである。 そのようなセルに対する基本的な読み出し動作は、適切なコントロールゲート バイアス(例えば、8V、表4参照)を読み出されない領域(以下便宜的に伝達 部分と呼ぶ)に供給する過程と、同時に、必要な読み出しコントロールゲートの バイアスを、フェンスされる部分に供給する(複数の状態では、これはその状態 を検出(センス)するために適したレベルのバイアス)を有する。ある実施例で は、セレクトゲートのバイアスは、約1.5Vに保持され、フローティングゲー トの導通レベルとは無関係に、全体のセルの電流をある制限された値(例えば、 約1μA)に保つ。セレクトゲートのバイアスが、任意の所望のレベル(例えば 約5V)に、電流検出(センシング)の要求に応じて保持される。同様に、伝達 部分に供給されたバイパス(約12V)をプログラムし、かつ書き込みポテンシ ャル(ここで再び複数の状態では、この値は書き込まれる 状態に適したバイアスのレベル)をコントロールゲート部分にプログラムするた めに、セレクトゲートのバイアスが、ソース側注入に適した値(約1.5V)に され、ドレインビットライン(プログラミングされるべきフローティングゲート に隣接するビットライン)を約5Vにプログラミングのために上昇させるために 、伝達部分に隣接したそのソースビットラインがグランドに接続される。 本発明のこの実施例の複数ビットのセルのいくつかの主な利点が以下に表され ている。 (1)「2*λ」2のセルのサイズへのアプローチ (2)高い自動整合性 (3)書き込みの間に、より高いパラレリズムを可能とする、低い電力及び低い 電圧を必要とする、高い効率のソース側プログラミング (4)スケーラビリティの魅力性 (5)全体としての過消去に対する免疫性 このセルは、(2・λ)2のセルのサイズを達成し、ここでλは、最小のリソ グラフィによる長さであり、その理由は(1)そのワードラインとそのビットラ インの方向で、その横方向の構成要素の部分の各々が、この最小のλの長さを用 いて形成され、(2)様々な臨界的な構成要素が互いに自動整合し、リソグラフ ィによるレジストレーションの重ね合わせで必要とされる要求を満足するための 大きなセルのサイズの必要性を除去するからである。 例えば、行ラインの方向またはワードラインの方向からみた場合、フローティ ングゲートのポリ1とポリ2は自動整合して積み重ねられ、それらの下側のチャ ネルは、リソグラフィの最小の長さである幅(λ)で形成され、伝達チャネル及 びビットラインの拡散が、リソグラフィの最小の長さ(λ)で同時に線引きされ 、この方向に沿った「2*λ」の最小のピッチが与えられる。 同様に、ビットライン方向のポリ2ステアリングゲートに沿ってみた場合、ポ リ1フローティングゲートとポリ3ワードラインの下のチャネル領域は、最小の リソグラフィ長さ(λ)を用いて形成され、ワードラインのチャネルの間の絶縁 領域は、最小のリソグラフィ長さ(λ)によって形成され、再び最小のピッチ( 2*λ)が達成される。このように して、セルは、「2*λ」2の最小のレイアウト面積で形成される。これは、自 動整合された交差点のセルと、ポリ2/ポリ1の積層体と、対応するチャネルと が、伝達チャネルとビットラインの拡散部分とに十分に自動整合しており、かつ それとは直交する方向では、絶縁部分がチャネル領域に自動整合しているからで ある。 この特徴と、ソース側注入のプログラミングメカニズムを用いることによって 可能とされた低い要求電圧とによって、依然としてさらにスケーリングする(す なわち、より小さいλ)ための理想的な素子が達成される。こうして、過消去に 対する免疫性が、以下の2つの要因からもたらされる。 (1)消去の程度とは無関係に、選択されていないときにセルの導通を十分に遮 断する、複数のトランジスタのチャネル選択領域の存在と、 (2)強いレベルの消去によって阻止される従来のドレイン側の注入とは対照的 な強い過消去によって強化されるソース側注入メカニズム。 ある実施例では、従来技術のMaアプローチに於けるように消去動作のために 100Åのトンネル酸化膜を用いるのではなく、第9A図及び第9B図で例示さ れたように厚い酸化膜によって形状的に強化されたポリツーポリトンネルアプロ ーチが用いられ、ここで、ポリ3ワードラインは、セル選択と消去アノードとの 2つの機能を果たす(上述されたサンディスクの特許第5,313,421号で 開示されている構造的アプローチ及び動作的アプローチのうちの1つ)。第10 A図及び10B図は、このセル/アルイの等価回路を表しており、表4はその動 作を表している。 この実施例の利点には以下のものが含まれる。 *行ラインに従う消去ユニット(行に沿ったセクタリングをもたらす) *負の電圧を用いる必要性の除去、これは、消去が、消去ポテンシャ ル(約20V)まで上昇されるポリ3ワードライン(選択されたセクタの)以外 は、全ての電極をグランドに保持することによって行われる。 *厚い酸化膜を介したトンネリングによる本質的な高い信頼性 *垂直方向の高いレベルの集積度(セレクトゲートと消去電極との2つの役割 を果たす垂直方向に積み重ねられたポリ3ワードライン)と組み合わされた、厚 いインターポリ酸化膜の使用から本質的にもたらされる改善されたスケーラベリ ティ(及びその結果としての、より大きな厚みと側壁の近傍に制限された少ない トンネル面積とを原因とする低減された寄生容量) このようなセルアプローチによって、物理的に最小の(4*λ2)高い自動整 合性の交差部分のセルの達成可能性がもたらされ、これは、非常に信頼性が高く (厚い酸化膜を用い、メモリアレイ内での接合部での高い電圧を必要としない) と、スケーラブルなものである(ソース側注入要素と、その低減された電圧と、 より緩和されたプロセス制御の条件と、垂直方向に集積化された厚い酸化膜のイ ンターポリ消去エレメントの固有のスケーラビリティとによる)。したがって、 物理的な観点から、0.25μ技術に基づくギカバイト(もしくはそれ以上の) のレベルの実施例が、約0.25μ2の単位ビット面積を有する。 二重ゲートセルの直列的な特性にもかかわらず、4つのレベルの複数の状態が (1つのフローティングゲートあたり2つの論理ビット、もしくは二重ゲートセ ルあたり4つの論理ビット)が実施できる。主要な条件は、最も強くプログラム された状態と伝達フローティングゲートのコントロールゲートのバイアスレベル とが、読み出しに対する妨害を生ずることなく、メモリのフローティング部分の 完全な複数の状態の条件を表すように最適に選択されるということである。上述 された例に基づけば、4つのレベルの複数の状態の実施例は、0.1μ2(約0 .125 μ2)に近づくビットあたりの面積を与える。 要約すると、上述された二重ゲートセル(厚い酸化膜と行に沿った消去アプロ ーチに基づく)は、新規なかつ進歩性を有する実施例をもたらし、スケーラビリ ティ、信頼性、及び性能に関して非常に優れている。負のステアリングセル動作を用いた他の実施例 読み出しのためのコントロールゲート(またはステアリング)のバイアス電圧 のレベル若しくはレベルの範囲は、プログラミング動作の間にメモリウインドウ の電圧位置と、対応するステアリング要素のための範囲とを設定し、消去動作の 間にポリ3コントロール/消去エレメントのための対応する範囲を設定する、有 力なパラメータとして寄与する。このレベル若しくはこのレベルの範囲を0V以 下にすることにより、フローティングゲートの電圧メモリウインドウ(その関連 する変化を原因とする)を、ステアリングゲートの結合率によって調節された比 例した量だけ上昇させる。その結果、センシング及びプログラミングの両方のた めの最大のステアリングゲートの電圧レベルが、負の方向にシフトされた量だけ 低減される。同様に、消去の間にステアリングゲートを0V未満にすることによ って、最大の消去電圧もまた低減され、その低減された量はステアリングゲート の結合率に比例する値である。 ステアリング電圧の大きさを決定する重要なパラメータは、ステアリングゲー ト結合率、即ち、RCG(若しくはR21)=C21/CDOTであり、ここで C21は、ポリ1フローティングゲートとポリ2ステアリングゲートとの間のキ ャパシタンスであり、CDOTは、フローティングゲートの全体のキャパシタン スである。例えば、読み出し及びプログラミングのための全体の条件が、フロー ティングゲートの電位を静電容量的に10Vシフトすることである場合、RCD が50%であり、ステアリング電圧の振幅は、1/RCGだけ増加されなければ ならず、 20Vの振幅となる。一方、もしRCGが66.7%増加される場合、ステアリ ング電圧の振幅は15Vに低下し、5Vが節約される。この66.7%の値を用 いることにより、読み出しステアリングバイアス電圧のレベル若しくは範囲)が 7.5Vだけ低減される場合、ポリ3消去電圧は、RCG×7.5Vだけ低減さ れ、低減されていないバイアス状態に比べて5Vが節約される。 Nチャネルのバイアスされたグランドに接続された基板のメモリアレイにおい て、負のステアリングを実行するために、ある実施例は、このバイアスを発生し 分配するために、負のグランドにすることのできるPチャネル回路を用いている 。十分にステアリングするための電圧のダイナミックレンジを支持するために、 そのようなPチャネル回路Nウェルが、必要とされる最大の正の電圧にバイアス され、Pチャネル回路は(メモリアレイのグランドの電位とは無関係に)、必要と される最も負の値に、最大の正の電圧から変化して任意の電位を供給される。正 及び負の電圧の制限値は、外部の供給源若しくはチップ内で発生され(例えば、 正のバイアスのためにはNチャネルベースのチャージポンプによって、負のバイ アスのためにはPチャネルベースのチャージポンプによって)供給され、その理 由は、ステアリングのためには(容量性負荷の充電のためには)直流電流が必要 とされないからである。 ある実施例では、完全に列方向に配列されたアレイの区分が、1つのセクタ若 しくは行に沿ったセクタの集合を形成するために実行され、ここで1つのセクタ は任意の時刻において読み出し若しくはプログラムが行われる。1つのセクタ内 の全てのセルは同時に消去され、1つ若しくは複数のセクタが同時に消去するた めに選択される。列に基づく区分は、1つのセルを複数の区分されたサブアレイ に分割し、これにより、キャパシタンス及びリーク電流などの累積された大きな 寄生容量を除去する。 各サブアレイは、ローカルビットラインの拡散領域と、ポリ2ステアリングライ ンとのそれ自体の集合を有し、この集合は、セグメント選択トランジスタマトリ クスによって、対応するグローバルビットライン及びステアリングラインに選択 的に接続される。 第10c図は、そのようなセグメント化された実施例を表しており、N行のセ ル(例えば、Nは32)からなるSEGMENT1によって表された1つのセグ メントを表している。例えば、各行は、2048個の二重ゲートセル若しくは等 価な4096個のフローティングゲート記憶素子からなる1つのセクタを形成し ている。代わりに、1つのセクタは2つ若しくはそれ以上の行の集合から形成さ れていてもよい。長い連続したグローバルビットライン(通常は金属によって構 成されている)BLKが、SEiラインによって駆動されているセグメントビッ トライン伝達セレクトトランジスタ1001及び1002を介して、選択的にロ ーカルセグメントに接続される。同様に、長い連続したグローバルステアリング ライン(通常は金属によって形成されている)Skが、STDODDI 及びS TD EVENiによって駆動されているステアリング駆動伝達セレクトトラン ジスタ2001及び2002を介して選択的にローカルセグメントステアリング ゲートに接続される。このようにして、アレイのセグメントは互いに分離されて おり、大きい累積された漏れ電流若しくはキャパシタンスといった寄生容量を除 去し、列に関連する欠陥及び繰り返し発生する妨害についての制限が提供される 。 1つの行のうちのできるだけ多くのセルに同時に動作することによって(ここ で1つの行は1Kから4Kのフローティングゲートメモリトランジスタを有する )、性能が向上され、これによりパラレリズムが最大化される。このセルアプロ ーチによる読み出し及び書き込み動作の両方での本来的な低いセルの動作電流に よって、ピーク時の電力は、このよ うな実施例では制限とはない。従って、1つの行の同時に動作するフローティン グゲートトランジスタの数は、アドレシングの制約とセグメントのデコードの制 限のみによって限定される。第10c図に例示された実施例に対しては、これに より、表5に表されたように、4番目のフローティングゲートごとに同時にアド レス指定及び動作が行われ、これにより、以下に示すように行全体が4つのパス でアドレス指定及び動作される。 各パスの間では、2つの隣接する拡散領域がドレイン電位に駆動され、次にグ ランドに駆動され、このバイアスパターンがセルの全体の行に亘って繰り返され る。このようにして、グローバルなドレイン/ソースバイアスが対称的な様式で 選択されたセルに対して行われ、奇数番目の選択されたセルのフローティングゲ ートのバイアス状態は、偶数番目の選択されたセルのバイアス状態の反転したも のとなる。表5に例示されているように、適切なバイアスがグローバルステアリ ングラインに供給され、表4に例示された目標とされたフローティングゲートの 動作を満足し、一方選択されていないセルのローカルステアリングラインは放電 され、グローバルステアリングラインから切り離された状態に保たれる。こうし て、グローバルビット/グランドラインと、目標とされた/目標とされなかった フローティングゲートのステアリングラインの両方に対するバイアス状態が、対 応しながら交換され、選択されたセル内のフローティングゲートのペアの他方に 動作するように交換される。この過程が終了すると、同様な動作がセルの他の集 合(即ち、以前には選択されなかった集合)にも繰り返され、4つのパス内の全 体の行のプログラミングが完了する。 このアプローチの高速という発想を、プログラミングに対して用いれば、40 96のフローティングゲート素子からなる物理的な行と、セルのプログラミング のための単位パスあたり10μ秒の時間を仮定すれば、1ビットあたり10n秒 までの有効なプログラミング時間、若しくは40μ秒当たり4096ビット、若 しくは12.5Mバイト/秒(即ち、4×10μ秒)の行プログラミング速度が 達成される。この実施例で負にシフトされたステアリングを実行するためには、 ステアリングセグメント化トランジスタマトリクスがPチャネルベースの回路内 で正にバイアスされたNウェル内で実行される。 上述されたように、必要とされる最大の電圧レベルを減少させるためには、ス テアリングゲートの結合率を、比較的高く、例えば約60%以上(セル等価回路 のある実施例に対する第10a図を参照)とすることが望ましい。ある実施例で は、セル構造及びプロセスアプローチと組み合わされてONOインターポリ2/ 1誘電体層(例えば、200Åの有効なtoxを伴った)が用いられ、基板の寄 生容量とインターポリ3/1のキャパシタンスとを低減する。 基板とドレインとの寄生容量は、或る実施例では、より厚いフィールド酸化膜 領域(米国特許第5,343,063号明細書に開示された絶縁構造のような) によって制限された狭いチャネル構造を用いることによって小さい値に保たれる 。例えば、そのフローティングゲートが厚いフィールド領域に概ね重なりあった (約0.3μm全体で重なっている)1500Å以上の厚みのフィールド領域によ って制限された約300Åの厚みのゲート酸化チャネル領域(例えば約0.1μ mの幅の狭い)を備えたセルは、スケーリングされたONOインターポリ2/1 と共に、フローティングゲートと基板/ドレインに対するキャパシタンスよりも およそ5倍の大きさのステアリングキャパシタンスをもたらす。 インターポリ3/1のキャパシタンスを減少させるために、この二重フローテ ィングゲートフラッシュセルでは、ポリ3がポリ1フローティングゲートの2つ のエッジ部分に接近しているので、ポリ3が1つのポリ1のエッジ部分に接近し ているセルのインターポリ3/1のキャパシタンスのおよそ2倍のキャパシタン ス(その寄生結合率は通常約15%)であることに注意しなければならない。二重 エッジ構造は、消去トンネル素子に対する利点を提供する(例えば、電圧レベル と電圧分布)が、この利点は、関連するより低い結合効率をオフセットするため に必要とされるより高い消去及びプログラミングゲート電圧によって打ち消され る。従って、この2つのエッジの一方のキャパシタンスの影響を消去することが 、たとえそうすることによって消去トンネリングの寄与が打ち消されるとしても 、望ましい。以下の説明は、これを実行するための方法のある実施例に関するも のであり、その方法は、自動整合拡散(BN+)形成方法として集成されている 。 自動整合したBN+セルを実現するために、NB+ソース/ドレインが、ポリ 2/1積層体のエッジ(即ち、自動整合したポリ2)が、後に形成されなければ ならず、これにより、物理的に最小なセルが形成される。今回の試みは、S/D 領域の上の一部からフィールド酸化膜を除去して、BN+へのASの注入を可能 とし、一方同時にポリ3とポリ2との間の高い電気的な絶縁のためのポリ2ステ アリングラインを取り囲む十分に厚い誘電体層を保つことである。以下の説明は 、上述された例示的なプロセスの詳細に関するものである。 断面図(第11a図から第11e図までの平面図及び様々な断面図、特に第1 1e図)の双子のセルをみると、自己整合されたBN+の形成と、ポリ3/ポリ 1結合の減少の2つを達成するためのこの方法の戦略は、2つの別個の領域、即 ち、(1)BN+に関連する縦方向の帯状の 領域と、(2)セレクトチャネル部分を含む縦方向の帯状部分とを、別個に処理 できるということに基づく。このようにすることで、ポリ3/1トンネルエッジ は、セレクト帯状部分に隣接して構成されるように制限され、一方、BN+の帯 状部分を広げるようなポリ1のエッジに沿った形成は完全に防止される。 これは、以下のように行われる。(以下の幾つかの重要な過程に関して、行ラ インの方向から見た断面図である第12a図を参照のこと。注意:ポリ3行ライ ンは、ここでは、横方向に延在するように定義され、BN+列は縦方向に延在す るように定義されている)。例えば、以下の説明は、0.25μ技術(最小のピ ッチを達成するために、幅及び間隔の両方である寸法最小のリソグラフィ長さを プリントする)を仮定して、寸法及び厚みの代表的な値が用いられている。 厚さ約1500Åでフィールド酸化膜1100を形成し、このフィールド酸化 膜を横方向の帯状部分としてエッヂングし、この過程の前若しくはこの過程の間 に適切なチャネル/フィールドインプラントを加える。酸化膜スペーサ方法を用 いて、チャネルの幅を減少させる(例えば、スペーサを形成した後に約0.25 μmからエッチングによって約0.1μmに減少させ、コントロールゲートの結 合を改善する)。フローティングゲート酸化膜1101を成長させる(約300 Åのゲート酸化膜)。サンディクスの米国特許第5,343,063号に表され ているように、ポリ1102の形成を介した厚さ約1500Å以上の整合過程が 実行される。次に、ポリ1が、チャネル領域を多いチャネルの何れかの側面のフ ィールド領域を覆う横方向の帯状部分としてエッチングされる。チャネルの幅と 同様に、スペーサを用いる方法は、エッチングされたポリ1の間隔を減少させる ために用いることができ、従って、フィールド酸化膜を覆う全体のポリ1を、若 しくは「ウィング」を増加させる。例えば、 スペーサを用いる過程の後に、ポリ1の間隔は約0.1μmまで減少され、両側 で約0.15μmのポリ1のウィングを与え、この様子は、ポリ1のウィング( フィールド酸化膜の上の)の例を表した列の方向に沿ったチャネルを介した断面 図を表した第11b図が参照される。ポリ1の厚みと比べチャネルの幅が狭いの で、ポリ1(1102)は、完全に溝を満たし、その結果ほぼ平坦な表面が形成 される。次に、ポリ1帯状部分の状面及びエッジ部分に沿って薄い(例えば、約 200Åのtoxを有する)ONO1103を形成する。他の実施例では、上部 に形成された膜の一部は、はじめに堆積されたポリ1層の積層体の一部として形 成されている。 第12a(1)図と第12a(2)図を参照すると、ポリ2(1104)(約 1500Å)と、厚いポリ3/2絶縁酸化膜1105(約2000Åと、十分に 厚いエッジ帯状層1106(酸化膜をエッジングする場合に下側の酸化膜が除去 されることを防ぐもの)と、上部の酸化層1107とからなる積層体層とが堆積 される。パターン化されたフォトレジストマスク層1108を用いて、次に、列 方向に沿って帯状にポリ1層までエッジングされ、ポリ2ステアリングゲートラ インが形成される。これらの露出されたポリ1領域は、セレクトチャネル及びB N+となる領域を覆っている。 第12b(i)図及び第12b(ii)図には、セレクトチャネル領域の上の 露出されたポリ1を保護し覆うための帯状の以前のフォトレジスト及びパターン 新フォトレジスト層1109が選ばれている。露出されたポリ1(1102)と 、形成されるべきBN+領域を覆うその下側の酸化膜1101とをエッチングす る。エッチストップ層106の上の酸化層1107が、ポリ1(1102)が除 去される時にエッチストップ層1106がエッチングにより除去されることを防 ぐために用いられ る。エッチストップ層1106(例えば、酸化膜のエッチング速度に比べて低い エッチング速度でなければならない薄いドープされていない多結晶シリコン若し くは窒化シリコン)が、フォトレジスト1109によって覆われていない厚いポ リ3/2絶縁酸化膜1105の部分がポリ1102の下の酸化膜1101がエッ ジングによって除去されるときにエッチングされることを防ぐために用いられる 。使用される酸化エッチングシステムは、下側のシリコン基板に比べより高い異 方性を有し(例えば、RIE)、より選択的であり、これにより、基板をエッチ ングすることがなく、フィールド酸化膜(約1500Å)とゲート酸化膜領域(約 300Å)との間で除去される酸化膜の厚みに大きな差を与える。全てのエッチ ングが完了した後に、フォトレジスト1109が除去される。第12c(1)図 及び第12c(2)図には、BN+接合部に隣接するP+DMOSタイプのドー ピング分布を形成するための十分な量のボロンドーパントを注入しドライブする オプションが例示されている(代わりに、砒素のBN+が注入されてもよいが、 その結果形成された横方向の拡散は、フローティングゲートのチャネルを不必要 に短いものにする)。 第12c(1)図及び第12c(2)図に例示されているように、酸化膜が形 成され、反応性イオンエッジングによるエッジバックがシリコンまで達し、側壁 スペーサ1110が形成される(約750Åが厚みであり、この厚みは、インタ ーポリ3/2の消去のための高電圧、例えば、約25Vの絶縁の必要条件によっ て決定される)。次に、砒素のBN+帯状部分が注入される。 第12d(i)図及び第12d(ii)図には、新たにパターン化されたフォ トレジスト層1111が加えられ、BN+帯状部分を覆い保護している。チャネ ル帯状部分の上の露出されたポリ1がエッチングされ、選択されたチャネル領域 が露出される(幾つかのポリ1が、チャネル領 域を覆い、従って、より厚くなり、フィールド酸化膜を覆う他の部分、他の部分 はフィールド酸化膜を覆いより薄く、酸化膜エッチングに対する同じような配慮 が、上述されたように選択的にBN+エッチングに対する酸化膜に対する酸化膜 に対して行われる)。 第12e(i)図及び第12e(ii)図には、フォトレジスト1111が除 去された後、酸化膜が形成され、(例えば、熱酸化若しくは組み合わせ酸化膜) ポリ1側壁1112と、隅部のインターポリ3/1トンネル酸化膜1113(例 えば、約350Åの)と、セレクトチャネルの上のポリ3ゲート酸化膜1114 と、BN+の上の酸化膜1115(例えば、約30Å未満、これら2つの酸化膜 に対する必要条件は、基板に対する消去電圧にたいする信頼性を保つだけの十分 の厚みを有すること)とを、を同時に形成する。セレクトトランジスタの閾値電 圧を調整するインプラントが、所望に応じてこの時導入されてもよい(例えば、 チャネルのドーパント濃度を増加させることにより、閾値電圧Vtを上昇させ、 相補的な注入を行うことによって閾値電圧Vtを減少させることができる。 第12f(i)図と第12f(ii)図には、ポリ3の堆積及びパターン化の 後の(或る実施例では、このポリ3にはワードラインの遅れを低減させるために ポリシリサイドからなる)、基本的な多重ゲートセル構造が表されている。本発 明のある実施例では、強電界領域が、従来のデバイスではドレイン及びソース領 域の近くに配置されている反転フィールド領域から遠くに配置されたチャネル内 で、強化されている。これは、例えば、チャネル1201及び1202と、伝達 チャネル領域1203との間の境界で、高いドーピング濃度の領域1200を用 いることによって達成される。ある実施例では、領域1200の幅は、0.1μ mのオーダーである。 上述された寸法及び膜の厚さの例示された値を用いることにより、全体のフロ ーティングゲートのキャパシタンスは、約0.4フェムトファラド(10-15F )となり、結合率はステアリングゲート(R21)ではおよそ70%、消去ゲー トではおよそ20%、フローティングゲートと基板及びドレインではおよそ10 %となる。このR21の値は、上述された値とはいくらか異なることがあり、そ の理由は、意欲的なセルのスケーリングという条件の元においても、他の端子か らの電界がカウントされていないからであり、この近似は適切な結合率が二重ゲ ートセルにおいて達成されていることを示しているからである。 上述された方法のプロセス変数は、さらに消去結合を減少させるものであり、 BN+が形成された後にBN+の上の領域を酸化膜で完全に満たすものである。 これは、例えば、十分な厚みの密度の高められていない酸化層を形成し(従って 下側の密度の高い酸化膜に比べエッチングによって除去することの容易な)、B N+をエッチングから保護するためにBN+の上にフォトレジストストの帯状部 分をパターン化し、セレクトチャネルの帯状部分の上の密度の高められていない 露出されたフィルムをエッチングによって除去することによって行われる。この 過程及びレジスタの除去の後に、ポリ3/1トンネル酸化膜プロセスが、上述さ れたように行われ、この間に、BN+の上の酸化膜フィラーの密度が高められる 。 上述された方法及びその変数は、上述された所望の二重フローティングゲート セルを所望のアレイとして実現するための様々な可能な方法の一つを述べたもの である。 要約すると、様々な概念が、双子(ツイン)のFGセルを実現するために開発 されてきた。 セルに対する基本的な概念は、それが低電力のソース側プログラミン グメカニズムであり、低電力での行に沿ったポリツーポリ消去エレメントである ということである。さらに、その独立したステアリング及びセレクト機能が、低 電力の複数の状態の読み出し動作及びプログラミング動作を容易にする。 ONOインタポリ2/1は、集積化されて、高い容量性結合の漏れ電流の非常 に少ないステアリング素子を提供する。ある実施例では、列に沿ったセグメント の構成を用いて、ビットラインの寄生容量と漏れ電流とを実質的に低減している 。 負の方向にシフトされた電圧ステアリングの実施例によって、プログラミング の間のポリ2ステアリングラインと、消去動作の間のポリ3ワード/消去ライン とに対する最大の電圧の最高限度の低下が達成される。このような実施例のもと で、列に沿ってセグメント化されたアレイ構造に対する1つの好適な実施例は、 Nウェルによって分離されたPチャネルステアリング選択マトリクスを用いるも のである。 高いステアリング率が、狭いチャネルと、ウィングとを形成できるようにする フィールド酸化膜法によって達成される。好適な実施例は、自動整合されたBN +形成プロセスの一部としてインターポリ3/1の寄生容量を減少させるものと して説明されてきた。これは、2つの潜在的なトンネルエッジのうちの一方に隣 接するより薄いトンネル酸化膜を、より厚い絶縁酸化膜によって置き換えるもの である。使用された例に基づけば、この方法は、70%に達するステアリング結 合率と、20%までに下げられた寄生容量結合とを有するセルを与える。さらに 、この例に基づけば、(4・λ2二重フローティングゲートポリ3ワード/消去 ラインセルに対して0.25μmの技術を用いる、ここでλは最小のリソグラフ ィ長さである)、0.25μm2の物理的なセル面積が可能と実現され、これは 、8(16)レベルの複数の状態に対して、1論理ビ ットあたり0.08μm2(0.06μm2)に達する有効なセルの寸法をもたら す。これらの寸法は、フラッシュメモリの4MEG及び8MEG世代で用いられ ている、同等の大の寸法、及び同じ寸法での同等のコストのセルの物理的な寸法 の約1/100であり、ギガバイトの密度レベルのフラッシュメモリを構成する ために適している。 本明細書中で言及された刊行物及び特許出願は、それら個々の刊行物もしくは 特許出願が言及されたことによって本出願の一部とされるように見なされる。 以上で本発明が十分に説明されたが、当業者には、多くの変形及び変更が添付 の請求の範囲の技術的視点を逸脱せずに可能なことは明らかであろう。
【手続補正書】 【提出日】1997年10月29日 【補正内容】 (請求の範囲) 1.メモリ構造の製造方法であって、 第1の導電型のソース領域を形成する過程と、 前記第1の導電型のドレイン領域を形成する過程と、 前記ソース領域に隣接して、前記第1の導電型と相異なる第2の導電型の第1 のチャネル領域を形成する過程と、 前記ドレイン領域に隣接して、前記第2の導電型の第2のチャネル領域を形成 する過程と、 前記第1のチャネル領域と前記第2のチャネル領域との間に、前記第2の導電 型の伝達チャネル領域を形成する過程と、 前記第1のチャネル領域の上に第1のフローティングゲートを形成する過程と 、 前記第2のチャネル領域の上に第2のフローティングゲートを形成する過程と 、 前記第1のフローティングゲートに、前記第1のフローティングゲートに対応 するステアリング素子として働く第1のコントロールゲートを形成する過程と、 前記第2のフローティングゲートの上に、前記第2のフローティングゲートに 対応するステアリング素子として働く第2のコントロールゲートを形成する過程 と、 前記伝達チャネル領域の上に、アクセストランジスタのコントロールゲートと して働きかつ前記第1コントロールゲート及び前記第2コントロールゲートの少 なくとも一部を覆う第3のコントロールゲートを形成する過程と、 前記第1のフローティングゲートと前記第3のコントロールゲートの間に、前 記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一部 、及び底部の一部のうちの少なくとも1つ若しくは複数を含む第1のトンネルゾ ーンを形成する過程と、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に、 前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一 部、及び底部の一部のうちの1つ若しくは複数を含む第2のトンネル領域を形成 する過程とを有することを特徴とするメモリ構造の製造方法。 2.メモリ構造の製造方法であって、 第1の導電型のソース領域を形成する過程と、 前記第1導電型のドレイン領域を形成する過程と、 前記ソース領域に隣接して、前記ソース領域に隣接する部分が前記第1チャネ ル領域よりも高いドーパント濃度を有する前記第1導電型とは相異なる第2導電 型の第1チャネル領域を形成する過程と、 前記ドレイン領域に隣接して、前記ドレイン領域に隣接した部分が前記第2の チャネル領域よりも高いドーパント濃度を有する前記第2導電型の第2チャネル 領域を形成する過程と、 前記第1チャネル領域と前記第2チャネル領域との間に、前記第2導電型の伝 達チャネル領域を形成する過程と、 前記第1チャネル領域の上に第1フローティングゲートを形成する過程と、 前記第2チャネル領域の上に第2フローティングゲートを形成する過程と、 前記第1フローティングゲートの上に、前記第1フローティングゲートに対応 するステアリング素子として働く第1コントロールゲートを形成する過程と、 前記第2フローティングゲートの上に、前記第2フローティングゲートのステ アリング素子として働く第2コントロールゲートを形成する過程と、 前記伝達チャネル領域の上に、アクセストランジスタのコントロールゲートと して働く第3コントロールゲートを形成する過程と、 前記第1フローティングゲートと前記第3コントロールゲートとの間に、前記 第1フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一部、及 び底部の一部のうちの1つ若しくは複数を含む第1トンネルゾーンを形成する過 程と、 前記第2フローティングゲートと前記第3コントロールゲートとの間に、前記 第2フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一部、及 び底部の一部のうちの1つ若しくは複数を含む第2トンネルゾーンを形成する過 程とを有することを特徴とするメモリ構造の製造方法。 3.メモリ構造の製造方法であって、 第1の導電型のソース領域を形成する過程と、 前記第1の導電型のドレイン領域を形成する過程と、 前記ソース領域に隣接して、前記第1の導電型とは相異なる第2の導電型の第 1チャネル領域を形成する過程と、 前記ドレイン領域に隣接して、前記第2導電型の第2チャネル領域を形成する 過程と、 前記第1チャネル領域と前記第2チャネル領域との間に、前記第2導電型の伝 達チャネル領域を形成する過程と、 前記第1チャネル領域の上に第1フローティングゲートを形成する過程と、 前記第2チャネル領域の上に第2フローティングゲートを形成する過程と、 前記第1フローティングゲートの上に、前記第1フローティングゲートに対応 するステアリング素子として働く第1コントロールゲートを形成する過程と、 前記第2フローティングゲートの上に、前記第2フローティングゲートに対応 するステアリング素子として働く第2コントロールゲートを形成する過程と、 前記伝達チャネル領域の上に、アクセストランジスタのコントロールゲートと して働く第3コントロールゲートを形成する過程と、 前記第1フローティングゲートと前記第3コントロールゲートとの間に、前記 第1フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一部、及 び底部の一部のうちの1つ若しくは複数を含む第1トンネルゾーンを形成する過 程と、 前記第2フローティングゲートと前記第3コントロールゲートとの間に、前記 第2フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一部、及 び底部の一部のうちの1つ若しくは複数を含む第2トンネルゾーンを形成する過 程と、 前記第1チャネル領域と前記伝達チャネル領域との境界に、前記第1チャネル 領域及び前記伝達チャネル領域よりも高いドーパント濃度の前記第2導電型にド ープされた第1ドープ領域を形成する過程と、 前記第2チャネル領域と前記伝達チャネル領域との境界に、前記第2チャネル 領域及び前記伝達チャネル領域よりも高いドーパント濃度の前記第2導電型にド ープされた第2ドープ領域を形成する過程とを有することを特徴とするメモリ構 造の製造方法。 4.複数のメモリセルを有するメモリアレイの製造方法であって、 前記メモリセルのソース領域及びドレイン領域として働く、第1の方向に沿っ て延在する複数の拡散ラインを形成する過程であって、前記メモリセルの各々が 、前記ソース領域に隣接して配置された第1チャネル領域と、前記ドレイン領域 に隣接して配置された第2チャネル領域と、前記第1チャネル領域と前記第2チ ャネル領域との間には位置された伝達チャネル領域とを有する、前記複数の拡散 ラインを形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記第1チャネル領域 の上に配置された、複数の第1フローティングゲートを形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記第2チャネル領域 の上に配置された複数の第2フローティングゲートを形成する過程と、 各々が、前記第1フローティングゲートの対応する集合の上に配置されかつ前 記第1フローティングゲートの各々に対応するステアリング素子として働く、前 記第2の方向に沿って延在する複数の第1コントロールラインを形成する過程と 、 各々が、前記第2フローティングゲートの対応する集合の上に配置されかつ前 記第2フローティングゲートに対応するステアリング素子として働く、前記第1 の方向に沿って延在する複数の第2コントロールラインを形成する過程と、 前記メモリセルの各々の前記伝達チャネル領域の上に第3コントロールゲート の集合を形成しかつ前記第1コントロールゲートと前記第2コントロールゲート のうちの対応するコントロールゲートの少なくとも一部を覆い、かつ前記対応す るメモリセルのアクセストランジスタのコントロールゲートとして働く、前記第 1の方向とほぼ直交する第2の方向に沿って延在する複数の行ラインを形成する 過程とを有し、 前記メモリセルの各々が、前記拡散ラインのうちの1つと前記行ラインのうち の1つとの交差部分に対応し、 前記メモリセルの各々が、 前記第1フローティングゲートと前記第3コントロールゲートとの間に形成さ れ、前記第1フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、及び底部の一部のうちの1つ若しくは複数を含む第1トンネル領域を含み 、 前記メモリセルの各々が、 前記第2フローティングゲートと前記第3コントロールゲートとの間に形成さ れ、前記第2フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、及び底部の一部のうちの1つ若しくは複数を含む第2トンネル領域を含む ことを特徴とするメモリアレイの製造方法。 5.複数のメモリセルを有するメモリアレイの製造方法であって、 前記メモリセルのソース領域及びドレイン領域として働く、第1の方向に沿っ て延在する複数の拡散ラインを形成する過程であって、前記メモリセルの各々が 、前記ソース領域に隣接した部分が前記その残りの部分よりも高いドーパント濃 度で前記第2導電型にドープされている、前記ソース領域に隣接して配置された 第1チャネル領域と、前記ドレイン領域に隣接した部分がその他の部分よりも高 いドーパント濃度で前記第2導電型にドープされている、前記ドレイン領域に隣 接して配置された第2チャネル領域と、前記第1チャネル領域と前記第2チャネ ル領域との間に配置された伝達チャネル領域とを有する、前記複数の拡散ライン を形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記第1チャネル領域 の上に配置された複数の第1フローティングゲートを形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記第2チャネル領域 の上に配置された複数の第2フローティングゲートを形成する過程と、 各々が、前記第1フローティングゲートの対応する集合の上に配置されかつ前 記第1フローティングゲートに対応するステアリング素子として働く、前記第1 の方向に沿って延在する複数の第1コントロールゲートラインとを形成する過程 と、 各々が、前記第2フローティングゲートの対応する集合の上に配置されかつ前 記第2フローティングゲートの各々に対応するステアリング素子として働く、前 記第1の方向に沿って延在する複数の第2コントロールゲートラインを形成する 過程と、 前記メモリセルの各々の前記伝達チャネル領域の上に第3コントロールゲート の集合を形成しかつ対応するメモリセルのアクセストランジスタのコントロール ゲートとして働く、前記第1の方向とは概ね直交する第2の方向に沿って延在す る複数のワードラインを形成する過程とを有し、 前記メモリセルの各々が、前記拡散ラインの1つと前記行ラインの1つとの交 差部分に対応し、 前記メモリセルの各々が、 前記第1フローティングゲートと前記第3コントロールゲートとの間に形成さ れ、前記第1フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、及び底部の一部のうちの1つ若しくは複数を含む第1トンネル領域を含む 、 前記メモリセルの各々が、 前記第2フローティングゲートと前記第3コントロールゲートとの間に形成さ れ、前記第2フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、及び底部の一部のうちの1つ若しくは複数を含む第2トンネル領域を含む ことを特徴とするメモリアレイの製造方法。 6.複数のメモリセルを有するメモリアレイの製造方法であって、 前記メモリセルのソース領域及びドレイン領域として働く、第1の方向に沿っ て延在する複数の拡散ラインを形成する過程であって、前記メモリセルの各々が 、前記ソース領域に隣接して配置された第1のチャネル領域と、前記ドレイン領 域に隣接して配置された第2のチャネル領域と、前記第1のチャネル領域と前記 第2のチャネル領域との間に配置された伝達チャネル領域とを有する、前記複数 の拡散ラインを形成する過程と、 前記第1チャネル領域と前記伝達チャネル領域との境界に、前記第1チャネル 領域及び前記伝達チャネル領域よりも高いドーパント濃度で前記第2導電型にド ープされた第1ドープ領域を形成する過程と、 前記第2チャネル領域と前記伝達チャネル領域との境界に、前記第2チャネル 領域及び前記伝達チャネル領域よりも高いドーパント濃度で前記第2導電型にド ープされた第2ドープ領域を形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記第1チャネル領域 の上に配置された複数の第1フローティングゲートを形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記第2チャネル領域 の上に配置された複数の第2フローティングゲートを形成する過程と、 各々が、前記第1フローティングゲートの対応する集合の上に配置されかつ前 記第1フローティングゲートの各々に対応するステアリング素子とした働く、前 記第1の方向に沿って延在する複数の第1コントロールゲートを形成する過程と 、 各々が、前記第2フローティングゲートの対応する集合の上に配置されかつ前 記第2フローティングゲートの各々に対応するステアリング素子として働く、前 記第1の方向に沿って延在する複数の第2コントロールゲートラインを形成する 過程と、 前記メモリセルの各々の前記伝達チャネル領域の上に第3のコントロールゲー ト履修号を形成しかつ前記対応するメモリセルのアクセストランジスタのコント ロールゲートとして働く、前記第1の方向とは概ね直交する第2の方向に沿って 延在する複数の行ラインを形成する過程とを有し、 前記メモリセルの各々が、前記拡散ラインの1つと前記行ラインの1つとの交 差部分に対応し、 前記メモリセルの各々が、 前記第1フローティングゲートと前記第3コントロールゲートとの間に形成さ れ、前記第1フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、及び底部の一部のうちの1つ若しくは複数を含む第1トンネルゾーンを含 み、 前記メモリセルの各々が、 前記第2フローティングゲートと前記第3コントロールゲートとの間に形成さ れ、前記第2フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、及び底部の一部のうちの1つ若しくは複数を含む第2トンネル領域を含む ことを特徴とするメモリアレイの製造方法。 7.前記第1の導電型が、N型であり、前記第2の導電型がP型であることを特 徴とする請求項1乃至6の何れかに記載の方法。 8.前記第2導電型が、ボロンドーパントによって提供されることを特徴とする 請求項7に記載の方法。 9.前記第1フローティングゲート及び前記第2フローティングゲートが、第1 の多結晶シリコン層を有し、 前記第1コントロールゲートが、第2の多結晶シリコン層を有し、 前記第3のコントロールゲートが、第3の多結晶シリコン層を有することを特 徴とする請求項2乃至6の何れかに記載の方法。 10.前記メモリアレイが、2つ以上の論理状態を記憶できることを特徴とする 請求項1乃至6の何れかに記載の方法。 11.前記第1フローティングゲートと、前記第2フローティングゲートとが、 2以上の複数の論理状態を記憶するための複数の電荷レベルのうちの1つを形成 することを特徴とする請求項10に記載の方法。 12.前記ソース領域と前記ドレイン領域とが、埋め込み拡散層を有することを 特徴とする請求項1乃至6の何れかに記載の方法。 13.前記埋め込み拡散層を覆う厚い誘電体操を形成する過程を更に有すること を特徴とする請求項12に記載の方法。 14.前記伝達チャネル領域が、前記第1チャネル領域及び前記第2チャネル領 域よりも高いドーパント濃度で前記第2導電型にドープされていることを特徴と する請求項1乃至6の何れかに記載の方法。 15.前記伝達チャネル領域が、前記第1チャネル領域及び前記第2チャネル領 域よりも全体で低いドーパント濃度で前記第2導電型にカウンタドープされてい ることを特徴とする請求項1乃至6の何れかに記載の方法。 16.前記メモリアレイが、複数のセクタとして構成されていて、 前記セクタの各々が、その全てのセルが同時に消去されるように構成された1 つ若しくは複数の行を有することを特徴とする請求項4乃至6の何れかに記載の 方法。 17.前記メモリアレイが、仮想グランドアレイとして構成されていることを特 徴とする請求項4乃至6の何れかに記載の方法。 18.1つの特定の行の1つおきのセルの第1フローティングゲート若しくは第 2フローティングゲートの何れかが、プログラムされるべき前記メモリセルの各 々に対応するデータを、対応する拡散ラインに与えることによって同時にプログ ラムされることを特徴とする請求項4乃至6の何れかに記載の方法。 19.1つの行全体が、4つのプログラム動作を用いてプログラムされることを 特徴とする請求項1に記載の方法。 20.前記第1フローティングゲートと前記第1コントロールゲートとを形成す る前記過程と、前記第2フローティングゲートと前記第2コントロールゲートと を形成する前記過程とが、 前記第1チャネル領域及び前記第2チャネル領域の上に前記第1チャネル領域 及び前記第2チャネル領域から絶縁されて、第1の方向に沿って延在する複数の 多結晶シリコンの帯状部分を形成する過程と、 前記複数の多結晶シリコンの帯状部分の上に前記複数の多結晶シリコンの帯状 部分から絶縁されて多結晶シリコン層を形成する過程と、 前記複数の多結晶シリコンの帯状部分と、前記多結晶シリコン層とを、前記第 1の方向とは概ね直交する第2の方向に延在する帯状部分としてパターン化し、 前記第1のフローティングゲートと、前記第2のフローティングゲートと、前記 第1のコントロールゲートと、前記第2のコントロールゲートとを形成する過程 とを有することを特徴とする請求項1乃至3の何れかに記載の方法。 21.前記第1のフローティングゲートと前記第1のコントロールゲートとを形 成する前記過程と、前記第2のフローティングゲートと前記第2のコントロール ゲートとを形成する前記過程とが、 前記第1のチャネル領域及び前記第2のチャネル領域の上に前記第1のチャネ ル領域及び前記第2のチャネル領域から絶縁された、前記第2の方向に沿って延 在する複数の多結晶シリコンの帯状部分を形成する過程と、 前記複数の多結晶シリコンの帯状部分の上に、前記複数の多結晶シリコンの帯 状部分から絶縁された多結晶シリコン層を形成する過程と、 前記複数の多結晶シリコンの帯状部分と、前記多結晶シリコン層とを、前記第 1の方向に沿って延在する帯状部分としてパターン化し、前記第1のフローティ ングゲートと、前記第2のフローティングゲートと、前記第1のコントロールゲ ートと、前記第2のコントロールゲートとを形成する過程を有することを特徴と する請求項4乃至6のいずれかに記載の方法。 22.前記複数の多結晶シリコンの帯状部分と前記多結晶シリコン層とをパター ン化する前記過程が、製造過程中で用いることのできる最小のリソグラフ長さの 幅を用いて実行されることを特徴とする請求項20に記載の方法。 23.前記複数の多結晶シリコンの帯状部分と前記多結晶シリコン層とをパター ン化する前記過程が、製造過程中で用いることのできる最小のリソグラフ長さの 幅を用いて実行されることを特徴とする請求項21に記載の方法。 24.前記伝達チャネル領域と前記複数領域と前記ドレイン領域とを形成する前 記過程が、前記伝達チャネル領域と、前記ソース領域と、前記ドレイン領域とを 、同時に線引する過程を有することを特徴とする請求項21乃至6のいずれかに 記載の方法。 25.前記伝達チャネル領域と、前記ソース領域と、前記ドレイン領域とを同時 に線引する前記過程が、製造過程中で用いることのできる最小のリソグラフ長さ の面積を用いて実行されることを特徴とする請求項24に記載の方法。 26.トンネル酸化膜を、前記伝達チャネル領域に隣接する前記第1のフローテ ィングゲート及び前記第2のフローティングゲートのエッジのみの上に形成する 過程を更に有することを特徴とする請求項1乃至6のいずれかに記載の方法。 27.前記トンネル領域として働くトンネル酸化膜を、前記伝達チャネル領域に 隣接する前記第1フローティングゲート及び前記第2フローティングゲートのエ ッジの上のみに形成する過程を更に有し、 前記トンネル酸化膜を形成する過程が、 複数の多結晶シリコンの帯状部分を形成する過程と、 前記第1の多結晶シリコン層の上に前記第1の多結晶シリコンから絶縁された 第2の多結晶シリコン層を形成する過程と、 前記第2の多結晶シリコン層をパターン化して、前記複数の第2のコントロー ルゲートと前記複数の第1のコントロールゲートとを形成する過程と、 前記第1の多結晶シリコン層をパターン化して、前記第1コントロールゲート と前記第2コントロールゲートの隣接する対の間の前記第1の多結晶シリコン層 の部分を除去する過程と、 前記第1の多結晶シリコン層及び前記第2の多結晶シリコン層の露出された側 壁にスペーサ誘電体を形成する過程と、 前記第1の多結晶シリコン層の露出された部分を除去する過程と、 前記第1多結晶シリコン層の前記露出された側壁にトンネル酸化膜を形成する 過程と、 第3の多結晶シリコン層を形成する過程とを有することを特徴とする請求項4 乃至6のいずれかに記載の方法。 28.各々、前記ソース領域と前記ドレイン領域とに隣接する前記第1チャネル 領域の部分と前記第2のチャネル領域の部分とが、前記スペーサ誘電体層を形成 する過程の前に、前記チャネル領域の残りの部分よりも高いドーパント濃度でド ープされ、前記ソース領域及び前記ドレイン領域が、前記スペーサ誘電体層を形 成する過程の後に形成されることを特徴とする請求項27に記載の方法。
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI H01L 29/792 (72)発明者 フォング、ユーピン・ケイ アメリカ合衆国カリフォルニア州94539・ フリモント・スプリングウォータドライブ 2100 (72)発明者 ハラリー、エリヤフ アメリカ合衆国カリフォルニア州95030・ ロスガトス・オーゼレイスコート 104

Claims (1)

  1. 【特許請求の範囲】 1.メモリ構造であって、 第1の導電型のソース領域と、 前記第1の導電型のドレイン領域と、 前記ソース領域に隣接して配置された、前記第1の導電型とは相異なる第2の 導電型の第1のチャネル領域と、 前記トレイン領域に隣接して配置された、前記第1の導電型とは相異なる前記 第2の導電型第2のチャネル領域と、 前記第1のチャネル領域と前記第2のチャネル領域との間に配置された、前記 第2の導電型の伝達チャネル領域と、 前記第1のチャネル領域の上に配置された第1のフローティングゲートと、 前記第2のチャネル領域の上に配置された第2のフローティングゲートと、 前記第1のフローティングゲートに対応するステアリング素子として働く、前 記第1のフローティングゲートの上に配置された第1のコントロールゲートと、 前記第2のフローティングゲートに対応するステアリング素子として働く、前 記第2のフローティングゲートの上に配置された第2のコントロールゲートと、 アクセストランジスタのコントロールゲートとして働く、前記伝達チャネル領 域の上に配置されかつ前記第1のコントロールゲート及び前記第2のコントロー ルゲートの少なくとも一部の上に配置された第3のコントロールゲートと、 前記第1のフローティングゲートと前記第3のコントロールゲートとの間に形 成された、前記第1のフローティングゲートのエッジ、側壁、 上部エッジの隅部、上部の一部、及び底部の一部のうちの1つ若しくは複数を含 む第1のトンネルゾーン、 前記第2のフローティングゲートと、前記第3のコントロールゲートとの間に 形成された、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅 部、上部の一部、及び底部の一部のうちの1つ若しくは複数を含む第2のトンネ ルゾーンとを有することを特徴とするメモリ構造。 2.メモリ構造であって、 第1の導電型のソース領域と、 前記第1の導電型のソース領域と、 前記第1の導電型のドレイン領域と、 前記ソース領域に隣接して配置された、前記第1の導電型とは相異なる第2の 導電型の第1のチャネル領域であって、前記ソース領域に隣接する前記第1のチ ャネル領域の一部が、前記第1のチャネル領域の他の部分よりも高いドーパント 濃度で前記第2の導電型にドープされている、前記第1のチャネル領域と、 前記ドレイン領域に隣接して配置された、前記第1の導電型とは相異なる前記 第2の導電型の第2のチャネル領域であって、前記ドレイン領域に隣接した前記 第2のチャネル領域の一部が、前記第2のチャネル領域の他の部分よりも高いド ーパント濃度で前記第2の導電型にドープされている、前記第2のチャネル領域 と、 前記第1のチャネル領域と前記第2のチャネル領域との間に配置された、前記 第2の導電型の伝達チャネル領域と、 前記第1のチャネル領域の上に配置された第1のフローティングゲートと、 前記第2のチャネル領域の上に配置された第2のフローティングゲー トと、 前記第1のフローティングゲートに対応するステアリング素子として働く、前 記第1のフローティングゲートの上に配置された第1のコントロールゲートと、 前記第2のフローティングゲートに対応するステアリング素子として働く、前 記第2のフローティングゲートの上に配置された第2のコントロールゲートと、 アクセストランジスタのコントロールゲートとして働く前記伝達チャネル領域 の上に配置された第3のコントロールゲートと、 前記第1のフローティングゲートと前記第3のコントロールゲートとの間に形 成された、前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部 、上部の一部、底部の一部の内の1つ若しくは複数を含む第1のトンネルゾーン と、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に形 成された、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部 、上部の一部、及び底部の一部の内の1つ若しくは複数を含む第2のトンネルゾ ーンとを有することを特徴とするメモリ構造。 3.メモリ構造であって、 第1の導電型のソース領域と、 前記第1の導電型のドレイン領域と、 前記ソース領域に隣接して配置された、前記第1の導電型と相異なる第2の導 電型の第1のチャネル領域と、 前記ドレイン領域に隣接して配置された、前記第1の導電型とは相異なる前記 第2の導電型の第2のチャネル領域と、 前記第1のチャネル領域と前記第2のチャネル領域との間に配置された、前記 第2の導電型の伝達チャネル領域と、 前記第1のチャネル領域の上に配置された第1のフローティングゲートと、 前記第1のチャネル領域の上に配置された第2のフローティングゲートと、 前記第1のフローティングゲートに対応するステアリング素子として働く、前 記第1のフローティングゲートの上に配置された第1のコントロールゲートと、 前記第2のフローティングゲートに対応するステアリング素子として働く、前 記第2のフローティングゲートの上に配置された第2のコントロールゲートと、 アクセストランジスタのコントロールゲートとして働く、 前記伝達チャネル領域の上に配置された第3のコントロールゲートと、 前記第1のフローティングゲートと前記第3のコントロールゲートとの間に配 置された、前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部 、上部の一部及び底部の一部の内の複数を含む第1のトンネル領域と、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に形 成された、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部 、上部の一部及び底部の一部の内の1つ若しくは複数を含む第2のトンネル領域 と、 前記第1のチャネル領域と前記伝達チャネル領域との境界に配置された、前記 第1のチャネル領域及び前記伝達チャネル領域よりも高いドーパント濃度で前記 第2の導電型にドープされた第1のドープされた領域と、 前記第2のチャネル領域と前記伝達チャネル領域との境界に配置された、前記 第2のチャネル領域及び前記伝達チャネル領域よりも高いドー パント濃度で前記第2の導電型にドープされた第2のドープされた領域とを有す ることを特徴とするメモリ構造。 4.複数のメモリセルを有するメモリアレイであって、 前記メモリセルのソース領域及びドレイン領域として働く、第1の方向に延在 する複数の拡散されたラインであって、前記メモリセルの各々が、前記ソース領 域に隣接して配置された第1のチャネル領域と、前記ドレイン領域に隣接して配 置された第2のチャネル領域と、前記第1のチャネル領域と前記第2チャネル領 域との間に配置された伝達チャネル領域とを備えた、前記複数の拡散されたライ ンと、 各々が、前記メモリセルの内の対応するメモリセルの前記第1のチャネル領域 の上に配置された複数の第1のフローティングゲートと、 各々が、前記メモリセルの内の対応するセルの前記第2のチャネル領域の上に 配置された複数の第2のフローティングゲートと、 各々が、前記第1のフローティングゲートの対応する集合の上に配置されかつ 前記第1のフローティングゲートの各々に対応するステアリング素子として働く 、前記第1の方向に延在する複数の第1のコントロールゲートラインと、 各々が、前記第2のフローティングゲートの対応する集合の上に配置されかつ 前記第2のフローティングゲートの各々に対応するステアリング素子として働く 、前記第1の方向に延在する複数の第2のコントロールゲートラインと、 前記第1の方向と概ね直交する第2の方向に沿って延在する複数の行ラインで あって、各メモリセルの前記伝達チャネル領域の上に配置された第3のコントロ ールゲートの集合を形成し、前記第1のコントロールゲートと前記第2のコント ロールゲートの内の対応するコントロールゲートの少なくとも一部の上に配置さ れ、かつ対応するメモリセルのアク セストランジスタのコントロールゲートとして働く、前記複数の行ラインを有し 、 前記メモリセルの各々が、前記拡散されたラインの1つと前記行ラインの1つ との交差部分に対応し、 前記メモリセルの各々が、前記第1のフローティングゲートと前記第3のコン トロールゲートとの間に形成され、前記第1のフローティングゲートのエッジ、 側壁、上部エッジの隅部、上部の一部、及び底部の一部のうちの1つ若しくは複 数を含む第1のトンネルゾーンを有し、 前記メモリセルの各々が、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に形 成され、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部、 上部の一部、及び底部の一部のうちの1つ若しくは複数を含む第2のトンネル領 域を有することを特徴とするメモリアレイ。 5.複数のメモリセルを備えたメモリアレイであって、 前記メモリセルのソース領域及びドレイン領域として働く、第1の方向に沿っ て延在いる複数の拡散されたラインであって、前記メモリセルの各々が、前記ソ ース領域に隣接して配置された第1のチャネル領域と、前記ドレイン領域に隣接 して配置された第2のチャネル領域と、前記第1のチャネル領域と前記第2のチ ャネル領域との間に配置された伝達チャネル領域とを有し、前記ソース領域に隣 接した前記第1のチャネル領域の部分が、前記第1のチャネル領域の残りの部分 よりも高いドーパント濃度で前記第2の導電型にドープされており、前記第2の チャネル領域の前記ドレイン領域と隣接する部分が、前記第2のチャネル領域の 残りの部分よりも高いドーパント濃度で前記第2の導電型にドープされている、 前記複数の拡散されたラインと、 各々が、前記メモリセルのうちの対応するメモリセルの前記第1のチ ャネル領域の上に配置された、複数の第1のソーティングゲートと、 各々が、前記メモリセルのうちの対応するメモリセルの前記第2のチャネル領 域の上に配置された複数の第2のフローティングゲートと、 各々が、前記第1のフローティングゲートの対応する集合の上に配置されかつ 前記第1のフローティングゲートの各々に対応するステアリング素子として働く 、前記第1の方向に延在する複数の第1のコントロールゲートラインと、 各々が、前記第2のフローティングゲートの対応する集合の上に配置されかつ 第2のフローティングゲートの各々と対応するステアリング素子として働く、前 記第1の方向に延在する複数の第2のコントロールゲートラインと、 前記第1の方向と概ね直交する第2の方向に延在する複数の行ラインであって 、各メモリセルの前記伝達チャネル領域の上に第3のコントロールゲートの集合 を形成し、対応するメモリセルのアクセストランジスタのコントロールゲートと して働く、前記複数の行ラインとを有し、 前記メモリセルの各々が、前記拡散されたラインのうちの1つと前記行ライン のうちの1つとの交差部分に対応し、 前記メモリセルの各々が、 前記第1のフローティングゲートと、前記第3のコントロールゲートとの間に 形成され、前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部 、上部の一部、及び底部の一部のうちの複数を含む第1のトンネル領域を有し、 前記メモリセルの各々が、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に形 成され、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部、 上部の一部、及び底部の一部を含む第2のトンネル領 域を有することを特徴とするメモリアレイ。 6.複数のメモリセルを有するメモリアレイであって、 前記メモリセルのソース領域及びドレイン領域として働く、第1の方向に沿っ て延在する複数の拡散されたラインであって、前記メモリセルの各々が、前記ソ ース領域に隣接して配置された第1のチャネル領域と、前記ドレイン領域に隣接 して配置された第2のチャネル領域と、前記第1のチャネル領域と前記第2のチ ャネル領域との間に配置された伝達チャネル領域とを有する、前記複数の拡散さ れたラインと、 前記第1のチャネル領域と前記伝達チャネル領域との境界に配置された、前記 第1のチャネル領域及び前記伝達チャネル領域よりも高いドーパント濃度で前記 第2の導電型にドープされた第1のドープされた領域と、 前記第2のチャネル領域と前記伝達チャネル領域との境界に配置された、前記 第2のチャネル領域及び前記伝達チャネル領域よりも高いドーパント濃度で前記 第2の導電型にドープされた第2のドープされた領域と、 各々が、前記メモリセルの対応するメモリセルの前記第1のチャネル領域の上 に配置された複数の第1のフローティングゲートと、 各々が、前記メモリセルのうちの対応するメモリセルの前記第2のチャネル領 域の上に配置された複数の第2のフローティングゲートと、 各々が、前記第1のフローティングゲートの対応する集合の上に配置され前記 第1のフローティングゲート各々と対応するステアリング素子として働く、前記 第1の方向に延在する複数の第1のコントロールゲートラインと、 各々が、前記第2のフローティングゲートの対応する集合の上に配置され前記 第2のフローティングゲートの各々と対応するステアリング素 子として働く、前記第1の方向に沿って延在いる複数の第2のコントロールゲー トラインと、 前記第1の方向とほぼ直交する第2の方向に沿って延在する複数の行ラインで あって、前記メモリセルの各々の前記伝達チャネル領域の上に第3のコントロー ルゲートの集合を形成し、対応する前記メモリセルのアクセストランジスタのコ ントロールゲートとして働く、前記複数の行ラインとを有し、 前記メモリセルの各々が、前記拡散されたラインの1つと前記行ラインの1つ との交差部分に対応し、 前記メモリセルの各々が、 前記第1のフローティングゲートと前記第3のコントロールゲートとの間に形 成され、前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部、 上部の一部及び底部の一部のうちの1つ若しくは複数を含む第1のトンネルゾー ンを有し、 前記メモリセルの各々が、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に形 成され、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部、 上部の一部、及び底部の一部のうちの1つ若しくは複数を含む第2のトンネル領 域を有することを特徴とするメモリアレイ。 7.前記第1の導電型が、N型であり、前記第2の導電型がP型であることを特 徴とする請求項1ないし6の何れかに記載のメモリ構造。 8.前記第2の導電型が、ボロンドーパントによって提供されることを特徴する 請求項7に記載のメモリ構造。 9.前記フローティングゲートが、多結晶シリコンからなる第1の層を有し、 前記第1のコントロールゲートが、多結晶シリコンからなる第2の層 を有し、 前記第3のコントロールゲートが、多結晶シリコンからなる第3の層を有する ことを特徴とする請求項1ないし6の何れかに記載のメモリ構造。 10.2以上の論理状態を記憶することができることを特徴とする請求項1ない し6の何れかに記載のメモリ構造。 11.前記フローティングゲートが、2以上の複数の論理状態を記憶するための 複数の予め決められた電荷レベルのうちの1つを形成することを特徴とする請求 項10に記載のメモリアレイ。 12.前記ソース領域及び前記ドレイン領域が、埋め込み拡散領域を有すること を特徴とする請求項1ないし6の何れかに記載のメモリ構造。 13.前記埋め込み拡散領域を覆う比較的厚い誘電体層を更に有することを特徴 とする請求項12に記載のメモリ構造。 14.前記伝達チャネル領域が、前記第1のチャネル領域及び前記第2のチャネ ル領域よりも高いドーパント濃度で前記第2の導電型にドープされていることを 特徴とする請求項1乃至何れかに記載のメモリ構造。 15.前記第1のチャネル領域が、全体としてのドーパント濃度が前記第1のチ ャネル領域及び前記第2のチャネル領域よりも低くなるように、カウンタドープ されて前記第2の導電型となっていることを特徴とする請求項1乃至6の何れか に記載のメモリ構造。 16.各々が、1つ若しくは複数の行を有しかつその中の全てのセルの消去が同 時に行われる複数のセクタとして構成されていることを特徴とする請求項4乃至 6の何れかに記載のメモリアレイ。 17.仮想グランドアレイとして構成されていることを特徴とする請求項4乃至 6の何れかに記載のメモリアレイ。 18.所定の行の1つおきのセルの前記第1のフローティングゲート若 しくは前記第2のフローティングゲートの何れかが、同時にベリファイされるこ とを特徴とする請求項4乃至6の何れかに記載のメモリアレイ。 19.1つの行全体が、4つのベリファイ動作を用いてベリファイされることを 特徴とする請求項18に記載のメモリアレイ。 20.1つの所定の行の1つ置きのセルの前記第1のフローティングゲート及び 前記第2のフローティングゲートの一方が、プログラミングされるべき各メモリ セルに対応するデータを対応する拡散ラインに与えることによって、同時にプロ グラムされることを特徴とする請求項4乃至6の何れかに記載のメモリアレイ。 21.1つの行全体が、4つのプログラム動作を用いてプログラムされることを 特徴とする請求項20に記載のメモリアレイ。 22.メモリ構造を形成する方法であって、 第1の導電型のソース領域を形成する過程と、 前記第1の導電形式のドレイン領域を形成する過程と、 前記ソース領域に隣接した、前記第1の導電型とは相異なる第2の導電型の第 1のチャネル領域を形成する過程と、 前記ドレイン領域に隣接した、前記第2の導電型の第2のチャネル領域を形成 する過程と、 前記第1のチャネル領域と前記第2のチャネル領域との間に、前記第2の導電 型の伝他チャネル領域を形成する過程と、 前記第1のチャネル領域の上に第1のフローティングゲートを形成する過程と 、 前記第2のチャネル領域の上に第2のフローティングゲートを形成する過程と 、 前記第1のフローティングゲートの上に、前記第1のフローティングゲートに 対応するステアリング素子として働く第1のコントローラゲー トを形成する過程と、 前記第2のフローティングゲートの上に、前記第2のフローティングゲートに 対応するステアリング素子として働く第2のコントロールゲートを形成する過程 と、 前記伝達チャネルの上に、アクセストランジスタのコントロールゲートとして 働きかつ前記第1のコントロールゲートと前記第2のコントロールゲートの少な くとも一部を覆う第3のコントロールゲートを形成する過程と、 前記第1のフローティングゲートと、前記第3のコントロールゲートとの間に 、前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、底部の一部の1つ若しくは複数を含む第1のトンネル領域を形成する過程 と、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に、 前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一 部、及び底部の一部の1つ若しくは複数を含む第2のトンネル領域を形成する過 程とを有することを特徴とするメモリ構造の製造方法。 23.メモリ構造の製造方法であって、 第1の導電型のソース領域を形成する過程と、 前記第1の導電型のドレイン領域を形成する過程と、 前記ソース領域に隣接して、前記ソース隣接した部分が前記ドレイン領域に隣 接していない残りの部分よりも高いドーパント濃度で第2の導電型にドープされ た前記第2の導電型の第1のチャネル領域を形成する過程と、 前記ドレイン領域に隣接して、前記ドレイン領域に隣接した部分が前記ドレイ ン領域に隣接していない残りの部分よりも高いドーパント濃度 でドープされた前記第2の導電型の第2のチャネル領域を形成する過程と、 前記第1のチャネル領域と前記第2のチャネル領域との間に、前記第2の導電 型の伝達チャネル領域を形成する過程と、 前記第1のチャネル領域の上に第1のフローティングゲートを形成する過程と 、 前記第2のチャネル領域の上に第2のフローティングゲートを形成する過程と 、 前記第1のフローティングゲートの上に、前記第1のフローティングゲートと 対応するステアリング素子として働く第1のコントロールゲートを形成する過程 と、 前記第2のフローティングゲートの上に、前記第2のフローティングゲートに 対応するステアリング素子として働く第2のコントロールゲートを形成する過程 と、前記伝達チャネル領域の上に、アクセストランジスタのコントロールゲート として働く第3のコントロールゲートを形成する過程と、 前記第1のフローティングゲートと前記第3のコントロールゲートとの間に、 前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一 部、及び底部の一部のうちの1つ若しくは複数を含む第1のトンネル領域を形成 する過程と、 前記第2のフローティングゲートと、前記第3のコントロールゲートとの間に 、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、底部の一部のうちの1つ若しくは複数を含む第2のトンネルゾーンを形成 する過程を有することを特徴とするメモリ構造の製造方法。 24.メモリ構造の製造方法であって、 第1の導電型のソース領域を形成する過程と、 前記第1の導電型のドレイン領域を形成する過程と、 前記ソース領域に隣接して、前記第1の導電型と相異なる第2の導電型の第1 のチャネル領域を形成する過程と、 前記ドレイン領域に隣接して、前記第2の導電型の第2のチャネル領域を形成 する過程と、 前記第1のチャネル領域と前記第2のチャネル領域との間に、前記第2の導電 型の伝達チャネル領域を形成する過程と、 前記第1のチャネル領域の上に、第1のフローティングゲートを形成する過程 と、 前記第2のチャネル領域の上に、第2のフローティングゲートを形成する過程 と、 前記第1のフローティングゲートの上に、前記第1のフローティングゲートに 対応するステアリング素子として働く第1のコントロールゲートを形成する過程 と、 前記第2のフローティングゲートの上に、前記第2のフローティングゲートに 対応するステアリング素子として働く第2のコントロールゲートを形成する過程 と、 前記伝達チャネル領域の上に、アクセストランジスタのコントロールゲートと して働く第3のコントロールゲートを形成する過程と、 前記第1のフローティングゲートと前記第3のコントロールゲートとの間に、 前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一 部、及び底部の一部のうちの1つ若しくは複数を含む第1のトンネルゾーンを形 成する過程と、 前記第1のチャネル領域と前記伝達チャネル領域との境界に、前記第1のチャ ネル領域及び前記伝達チャネル領域よりも高いドーパント濃度 で前記第2の導電型にドープされた第1のドープされた領域を形成する過程と、 前記第2のチャネル領域と前記伝達チャネル領域との境界に、前記第2のチャ ネル領域と前記伝達チャネル領域よりも高いドーパント濃度で前記第2の導電型 にドープされた第2のドープされた領域を形成する過程とを有し、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に、 前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部、上部の一 部、及び底部の一部のうちの1つ若しくは複数を含む第2のトンネルゾーンを形 成する過程とを有することを特徴とするメモリ構造の製造方法。 25.複数のメモリセルを有するメモリアレイの製造方法であって、 前記メモリセルのソース領域とドレイン領域として働く、第1の方向に沿って 延在する複数拡散されたラインを形成する過程であって、前記各メモリセルは、 前記ソース領域に隣接して配置された第1のチャネル領域と、前記ドレイン領域 に隣接して配置された第2のチャネル領域と、前記第1のチャネル領域と前記第 2のチャネル領域との間に配置された伝達チャネル領域とを有する、前記複数の 拡散されたラインを形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記第1のチャネル領 域に配置された複数の第1のフローティングゲートを形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記第2のチャネル領 域の上に配置された複数の第2のフローティングゲートを形成する過程と、 各々が、前記第1のフローティングゲートの対応する集合の上に配置 され、かつ前記第1のフローティングゲートの各々に対応するステアリング素子 として働く前記第1の方向に沿って延在する複数の第1のコントロールゲートラ インを形成する過程と、 各々が、前記第2のフローティングゲートの対応する集合の上に配置されかつ 前記第2のフローティングゲートの各々に対る応するステアリング素子として働 く前記第1の方向に沿って延在する複数の第2のコントロールゲートラインを形 成する過程と、 前記メモリセルの各々の前記伝達チャネル領域の上に第3のコントロールゲー トの集合を形成しかつ前記第1のコントロールゲートと前記第2のコントロール ゲートのうちの対応するコントロールゲートの少なくとも一部を覆いかつ対応す る前記メモリセルのアクセストランジスタのコントロールゲートとして働く前記 第1の方向とは概ね直交する第2の方向に沿って延在する複数の行ラインを形成 する過程とを有し、 前記メモリセルの各々が、前記拡散されたラインの1つと前記行ラインの1つ との交差部分に対応し、 前記メモリセルの各々が、 前記第1のフローティングゲート前記第3のコントロールゲートとの間に形成 された前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部、上 部の一部及び、底部の一部のうちの少なくとも1つ若しくは複数を有する第1の トンネルゾーンを含み、 前記メモリセルの各々が、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に形 成された、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部 、上部の一部、及び底部の一部のうちの1つ若しくは複数を含む第2のトンネル ゾーンを含むことを特徴とするメモリアレイの製造方法。 26.複数のメモリセルを有するメモリアレイの製造方法であって、 前記メモリセルのソース領域及びドレイン領域として働く、第1の方向に沿っ て延在する複数の拡散されたラインを形成する過程であって、前記メモリセルの 各々が、前記ソース領域と隣接する部分が前記第1のチャネル領域よりも高いド ーパント濃度で第2の導電型にドープされた前記ソース領域に隣接して配置され た第1のチャネル領域と、前記ドレイン領域に隣接した部分は前記第2のチャネ ル領域よりも高いドーパント濃度で前記第2の導電型にドープされた前記ドレイ ン領域に隣接して配置された第2のチャネル領域と、前記第1のチャネル領域と 前記第2のチャネル領域との間に配置された伝達チャネル領域とを有する、前記 複数の拡散されたラインを形成する過程と、 各々が、前記メモリセルの対応するメモリセルの前記第1のチャネル領域の上 に形成された複数の第1のフローティングゲートを形成する過程と、 各々が、前記メモリセルのうちの対応するメモリセルの前記ラインのチャネル 領域の上に配置された複数のラインをフローティングゲートを形成する過程と、 各々が、前記第1のフローティングゲートの対応する集合の上に配置されかつ 前記第1のフローティングゲートの各々に対応するステアリング素子として働く 、前記第1の方向に延在する複数の第1のコントロールゲートラインを形成する 過程と、 各々が、前記第2のフローティングゲートの対応する集合の上に配置されかつ 前記第2のフローティングゲートの各々に対応するステアリング素子として働く 、前記第1の方向に延在する複数の第2のコントロールゲートラインを形成する 過程と、 前記メモリセルの各々の前記伝達チャネル領域の上に第3のコントロ ールゲートの集合を形成しかつ対応する前記メモリセルのアクセストランジスタ のコントロールゲートとして働く、前記第1の方向とは概ね直交する第2の方向 に沿って延在する、複数の行ラインを形成する過程とを有し、 前記メモリセルの各々が、前記拡散されたラインの1つと前記行ラインの1つ との交差部分に対応し、 前記メモリセルが、 前記第1のフローティングゲートと、前記第3のコントロールゲートとの間に 形成され、前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部 、上部の一部、及び底部の一部のうちの1つ若しくは複数を含む第1のトンネル ゾーンを含み、 前記メモリセルの各々は、 前記第2のフローティングゲートと、前記第3のコントロールゲートとの間に 形成された、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅 部、上部の一部、底部の一部のうちの1つ若しくは複数を含む第2のトンネルゾ ーンを含むことを特徴とするメモリアレイの製造方法。 27.複数のメモリセルを有するメモリアレイの製造方法であって、 前記メモリセルのソース領域及びドレイン領域として働く、第1の方向に沿っ て延在する複数の拡散されたラインを形成する過程であって、前記メモリセルの 各々が、前記ソース領域に隣接して配置された第1のチャネル領域と、前記ドレ イン領域に隣接して配置された第2のチャネル領域と、前記第1のチャネル領域 と前記第2のチャネル領域との間に配置された伝達チャネル領域とを有する、前 記複数の拡散されたラインを形成する過程と、 前記第1のチャネル領域と前記第2のチャネル領域との境界に、前記 第1のチャネル領域及び前記伝達チャネル領域よりも高いドーパント濃度で第2 の導電型にドープされた第1のドープされた領域を形成する過程と、 前記第2のチャネル領域と前記伝達チャネル領域との境界に、前記第2のチャ ネル領域及び前記伝達チャネル領域よりも高いドーパント濃度で前記第2の導電 型にドープされた第2のドープされた領域を形成する過程と、 各々が、前記メモリセルの対応するメモリセルの前記第1のチャネル領域の上 に配置された複数の第1のフローティングゲートを形成する過程と、 各々が、前記メモリセルの対応するメモリセルの前記第2のチャネル領域の上 に配置された複数の第2のフローティングゲートを形成する過程と、 各々が、前記第1のフローティングゲートの対応する集合の上に配置されかつ 前記第1のフローティングゲートの各々に対応するステアリング素子として働く 、前記第1の方向に沿って延在する複数の第1のコントロールゲートラインを形 成する過程と、 各々が、前記第2のフローティングゲートの対応する集合の上に配置されかつ 前記第2のフローティングゲートの各々と対応するステアリング素子として働く 、前記第1の方向に沿って延在する複数の第2のコントロールゲートラインを形 成する過程と、 前記メモリセルの各々の前記伝達チャネル領域の上に第3のコントロールゲー トの集合を形成しかつ関連する前記メモリセルのアクセストランジスタのコント ロールゲートとして働く、前記第1の方向とは概ね直交する第2の方向へ沿って 延在する複数の行ラインを形成する過程とを有し、 前記メモリセルの各々は、前記拡散されたラインと前記行ラインとの交差部分 に対応し、 前記メモリセルの各々は、 前記第1のフローティングゲートと前記第3のコントロールゲートの間に形成 された、前記第1のフローティングゲートのエッジ、側壁、上部エッジの隅部、 上部の一部、及び底部の一部のうちの1つ若しくは複数を含む第1のトンネルゾ ーンを含み、 前記メモリセルの各々は、 前記第2のフローティングゲートと前記第3のコントロールゲートとの間に形 成された、前記第2のフローティングゲートのエッジ、側壁、上部エッジの隅部 、上部の一部、及び底部の一部のうちの1つ若しくは複数を含む第2のトンネル ゾーンを含むことを特徴とするメモリアレイの製造方法。 28.前記第1の導電型がN型であり、前記第2の導電型がP型であることを特 徴とする請求項22乃至27の何れかに記載の方法。 29.前記第2の導電型がボロンドーパントによって提供されることを特徴とす る請求項28に記載の方法。 30.前記フローティングゲートが、多結晶シリコンからなる第1の層を有し、 前記第1のコントロールゲートが、多結晶シリコンからなる第2の層を有し、 前記第3のコントロールゲートは、多結晶シリコンからなる第3の層を有する ことを特徴とする請求項22乃至27のうちの何れかに記載の方法。 31.2つ以上の論理状態を記憶することができることを特徴とする請求項22 乃至27の何れかに記載の方法。 32.前記フローティングゲートが、2以上の複数の論理状態を記憶するための 複数の予め決められた電荷レベルの1つを形成することを特徴とする請求項31 の方法。 33.前記ソース領域及び前記ドレイン領域が、埋め込み拡散領域を有すること を特徴とする請求項22乃至27の何れかに記載の方法。 34.前記埋め込み拡散領域の上に形成された比較的厚い誘電体層を形成する過 程を更に有することを特徴とする請求項33に記載の方法。 35.前記伝達チャネルが、前記第1のチャネル領域及び前記第2のチャネル領 域よりも高いドーパント濃度で前記第2の導電型にドープされていることを特徴 とする請求項22乃至27の何れかに記載の方法。 36.前記伝達チャネルが、前記第1のチャネル領域及び前記第2のチャネル領 域よりも全体として低いドーパント濃度となるように前記第2の導電型にカウン タドープされていることを特徴とする請求項22乃至27の何れかに記載の方法 。 37.各々が、1つ若しくは複数の行を有しかつそのうちの全てのセルが同時に 消去されるように構成された複数のセクタとして構成されていることを特徴とす る請求項25乃至27の何れかに記載の方法。 38.仮想グランドアレイとして構成されていることを特徴とする請求項25乃 至27の何れかに記載の方法。 39.所定の1つの行の1つ置きのセルの前記第1フローティングゲート及び前 記第2のフローティングゲートのうちの1つが同時にベリファイさせることを特 徴とする請求項25乃至27の何れかに記載の方法。 40.1つの行全体が、4つのベリファイ動作を用いてベリファイされることを 特徴とする請求項39.に記載の方法。 41.所定の1つの行の1つ置きのセルの前記第1のフローティングゲートと前 記第2のフローティングゲートのうちの一方が、プログラムさ れるべき各メモリセルに対応するデータを対応拡散されたラインに与えることに よって同時にプログラムされることを特徴とする請求項25乃至27の何れかに 記載の方法。 42.1つの行全体が、4つのプログラム動作を用いてプログラムされることを 特徴とする請求項20に記載の方法。 43.前記第1フローティングゲートと前記第1コントロールゲートとを形成す る前記過程と、前記第2フローティングゲートと前記第2コントロールゲートと を形成する前記過程とが、 前記第1チャネル領域及び前記第2チャネル領域の上に前記第1チャネル領域 及び前記第2チャネル領域から絶縁されて第1の方向に沿って延在する複数の多 結晶シリコンの帯状の部分を形成する過程と、 前記複数の多結晶シリコンの帯状部分から絶縁されて前記複数の多結晶シリコ ンの帯状部分の上に多結晶シリコン層を形成する過程と、 前記複数の多結晶シリコンの帯状部分と、前記多結晶シリコン層とを、前記第 1の方向とは概ね直交する第2の方向に延在する帯状部分としてパターン化し、 前記第1のフローティングゲートと、前記第2のフローティングゲートと、前記 第1のコントロールゲートと、前記第2のコントロールゲートとを形成する過程 を有することを特徴とする請求項22乃至24の何れかに記載の方法。 44.前記第1フローティングゲートと前記第1コントロールゲートとを形成す る前記過程と、前記第2フローティングゲートと前記第2コントロールゲートと を形成する前記過程とが、 前記第1チャネル領域及び前記第2チャネル領域から絶縁されて前記第1チャ ネル領域と前記第2チャネル領域の上に前記第2の方向に延在する複数の多結晶 シリコンの帯状部分を形成する過程と、 前記複数の多結晶シリコンの帯状部分から絶縁されて前記複数の多結 晶シリコンの帯状部分の上に多結晶シリコン層を形成する過程と、 前記複数の多結晶シリコンの帯状部分と前記多結晶シリコン層とを、前記第1 の方向に延在する帯状部分としてパターン化し、前記第1のフローティングゲー トと、前記第2のフローティングゲートと、前記第1のコントロールゲートと、 前記第2のコントロールゲートとを形成する過程を有することを特徴とする請求 項25乃至27の何れかに記載の方法、 45.前記複数の多結晶シリコンの帯状部分と前記多結晶シリコン層とをパター ン化する前記過程が、製造過程で利用できる最小のリソグラフ長さの幅を用いて 行われることを特徴とする請求項43に記載の方法。 46.前記複数の多結晶シリコンの帯状部分と前記多結晶シリコン層とをパター ン化する前記過程が、製造過程で用いることのできる最小のリソグラフ長さの幅 によって実行されることを特徴とする請求項44に記載の方法。 47.前記伝達チャネル領域と、前記ソース領域と、前記ドレイン領域とを形成 する前記過程が、前記伝達チャネル領域と、前記ソース領域と、前記ドレイン領 域とを同時に線引きする過程を有することを特徴とする請求項22乃至27の何 れかに記載方法。 48.前記伝達チャネル領域と前記ソース領域と前記ドレイン領域とを同時に線 引きする過程が、製造過程で用いることができる最小のリソグラフ長さの面積を 用いて実行されることを特徴とする請求項47に記載の方法。 49.トンネル酸化膜を、前記伝達チャネル領域に隣接した前記第1フローティ ングゲート及び前記第2フローティングゲートの前記エッジのみの上に形成する 過程を更に有することを特徴とする請求項22乃至27の何れかに記載の方法。 50.前記トンネルゾーンとして働く、トンネル酸化膜を、前記伝達チャネル領 域に隣接する前記第1フローティングゲート及び前記第2フローティングゲート の前記エッジの上のみに形成する前記過程を有し、 複数の多結晶シリコンの帯状部分を形成する過程と、 前記第1の多結晶シリコン層から絶縁され前記第1多結晶シリコン層の上に第 2のシリコン層を形成する過程と、 前記第2多結晶シリコン層をパターン化して、前記複数の前記第1のコントロ ールゲートと、前記複数の前記第2のコントロールゲートとを形成する過程と、 前記第1の多結晶シリコン層をパターン化して、前記第1のコントロールゲー トと前記第2のコントロールゲートとの隣接する組の間の前記第1の多結晶シリ コン層の部分を除去する過程と、 前記第1の多結晶シリコン層と前記第2の多結晶シリコン層の露出された側壁 の上にスペーサ誘電体を形成する過程と、 前記第1の多結晶シリコン層の露出された部分を除去する過程と、 前記第1の多結晶シリコン層の前記露出された側壁にトンネル酸化膜を形成す る過程と、 第3の多結晶シリコン層を形成する過程とを更に有することを特徴とする請求 項25乃至27の何れかに記載の方法。 51.前記スペーサ誘電体膜を形成する過程の前に、前記第1チャネル領域と前 記第2チャネル領域の内前記ソース領域と前記ドレイン領域とに隣接する部分が 、各々、前記チャネル領域の残りの部分よりも高いドーパント濃度でドープされ 、前記ソース領域と前記ドレイン領域とが、前記スペーサ誘電体層を形成する過 程の後に形成されることを特徴とする請求項50に記載の方法。 52.複数のセグメントを有するメモリアレイであって、前記セグメン トの各々がサブアレイを含み、前記サブアレイが、 第1の方向に沿って延在して対応する複数の列を形成する複数の隣接するビッ トラインと、 前記第1の方向に沿って延在する複数のステアリングラインと、 前記第1の方向と概ね直交する第2の方向に延在して対応して複数の行を形成 する複数のワードラインと、 各々が、前記ビットラインの1つと前記ワードラインの1つとの交差部分に対 応する複数のメモリセルとを有することを特徴とするメモリアレイ。 53.前記ワードラインが前記消去ラインとして働くことを特徴とする請求項5 2に記載のメモリアレイ。 54.1つ若しくは複数のセクタを含み、 前記各セクタが、1つ若しくは複数の前記ワードラインと、対応する消去ライ ンとを含み、 前記セクタの各々が、同時に消去することのできる複数のメモリセルを含むこ とを特徴とする請求項53に記載のメモリアレイ。 55.1つ若しくは複数のセクタを含み、 前記セクタの各々が、消去ラインとしても働く1つ若しくは複数の前記ワード ラインを含み、 前記セクタの各々が、同時に消去できる複数のメモリセルを含むことを特徴と する請求項53に記載のメモリアレイ。 56.前記メモリセル内に2個以上の論理状態のうちの1つを記憶する過程を更 に有することを特徴とする請求項52に記載の方法。 57.仮想グランドアレイとして構成されていることを特徴とする請求項52に 記載のメモリアレイ。 58.前記ビットラインとして働きかつ前記メモリセルのソース領域及 びドレイン領域を形成する、前記第1の方向に沿って延在する複数の拡散された ラインであって、前記メモリセルの各々が、前記ソース領域に隣接して配置され た第1のチャネル領域と、前記ドレイン領域に隣接して配置された第2のチャネ ル領域と、前記第1のチャネル領域と前記第2のチャネル領域との間に配置され た伝達チャネル領域とを有する、前記複数の拡散されたラインと、 各々が、前記メモリセルのうちの対応するメモリセルの前記第1チャネル領域 の上に配置された複数の第1フローティングゲート、 各々が、前記メモリセルのうちの対応するメモリセルの前記第2チャネル領域 の上に配置された複数の第2フローティングゲートと、 各々が、前記のフローティングゲートの対応する集合の上に配置されかつ前記 第1フローティングゲートの各々に対応する前記ステアリングラインに対して働 く、前記第1の方向に沿って延在する複数の第1コントロールゲートラインと、 各々が、前記第2フローティングゲートの対応する集合の上に配置されかつ前 記第2フローティングゲートの各々に対応する前記ステアリングラインに対して 働く、前記第1の方向に沿って延在する複数の第2コントロールゲートラインと 、 前記メモリセルの各々の前記伝達チャネル領域の上に第3のコントロールゲー トの集合を形成し、前記第1のコントロールゲート及び前記第2コントロールゲ ートのうちの対応するコントロールゲートの少なくとも一部の上に配置され、対 応するメモリセルのアクセストランジスタのコントロールゲートとして働く、前 記第1の方向と概ね直交する第2の方向に沿って延在する前記ワードラインとし て働く複数の行ラインとを有し、 前記メモリセルの各々は、前記拡散されたラインのうちの1つと前記 行ラインのうちの1つとの交差部分に対応し、 前記メモリセルの各々が、 前記第1フローティングゲートと前記第3コントロールゲートとの間に形成さ れ、前記第1フローティングゲートのエッジ、側壁、上部エッジの隅部、上部の 一部、及び底部の一部のうちの1つ若しくは複数を含む、第1トンネルゾーンを 含み、 前記メモリセルの各々が、 前記第2フローティングゲートと、前記第3コントロールゲートとの間に形成 され、前記第2フローティングゲートのエッジ、側壁、上部エッジの隅部、上部 の一部、及び底部の一部のうちの1つ若しくは複数を含む第2トンネルゾーンを 含むことを特徴とする請求項52に記載のメモリアレイ。 59.1つの所定の行の1つ置きのセルの第1フローティングゲートと前記第2 フローティングゲートの1つが同時にベリファイされることを特徴とする請求項 58.に記載のメモリアレイ。 60.1つの行全体が、4つのベリファイ動作を用いてベリファイされることを 特徴とする請求項59に記載のメモリアレイ。 61.1つの所定の行の1つ置きのセルが、プログラムされるべき前記メモリセ ルの各々に対応するデータを対応するビットラインに与えることよよって同時に プログラムされることを特徴とする請求項58に記載のメモリセル。 62.1つの行全体が、4つのプログラム動作を用いてプログラムされることを 特徴とする請求項61に記載のメモリセル。 63.0V未満のステアリングバイアス電圧レベルを供給することのできるステ アリングバイアス回路を更に有することを特徴とする請求項1乃至6の何れか若 しくは52に記載のメモリセル。
JP53094797A 1996-02-28 1997-01-29 スプリットゲートとソース側注入を用いたeeprom Expired - Lifetime JP4122413B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/607,951 US5712180A (en) 1992-01-14 1996-02-28 EEPROM with split gate source side injection
US08/607,951 1996-02-28
PCT/US1997/001388 WO1997032309A1 (en) 1996-02-28 1997-01-29 Eeprom with split gate source side injection

Publications (2)

Publication Number Publication Date
JPH11504768A true JPH11504768A (ja) 1999-04-27
JP4122413B2 JP4122413B2 (ja) 2008-07-23

Family

ID=24434393

Family Applications (1)

Application Number Title Priority Date Filing Date
JP53094797A Expired - Lifetime JP4122413B2 (ja) 1996-02-28 1997-01-29 スプリットゲートとソース側注入を用いたeeprom

Country Status (3)

Country Link
US (3) US5712180A (ja)
JP (1) JP4122413B2 (ja)
WO (1) WO1997032309A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003242790A (ja) * 2002-02-13 2003-08-29 Seiko Epson Corp 不揮発性半導体記憶装置
JP2018517223A (ja) * 2015-03-31 2018-06-28 シリコン ストーリッジ テクノロージー インコーポレイテッドSilicon Storage Technology, Inc. フラッシュメモリシステムにおける選択していないビット線のプログラミングを禁止する方法及び装置

Families Citing this family (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002614A (en) 1991-02-08 1999-12-14 Btg International Inc. Memory apparatus including programmable non-volatile multi-bit memory cell, and apparatus and method for demarcating memory states of the cell
US5313421A (en) * 1992-01-14 1994-05-17 Sundisk Corporation EEPROM with split gate source side injection
US6222762B1 (en) 1992-01-14 2001-04-24 Sandisk Corporation Multi-state memory
US7071060B1 (en) 1996-02-28 2006-07-04 Sandisk Corporation EEPROM with split gate source side infection with sidewall spacers
US5712180A (en) * 1992-01-14 1998-01-27 Sundisk Corporation EEPROM with split gate source side injection
US6353554B1 (en) 1995-02-27 2002-03-05 Btg International Inc. Memory apparatus including programmable non-volatile multi-bit memory cell, and apparatus and method for demarcating memory states of the cell
DE69633242D1 (de) 1996-12-24 2004-09-30 St Microelectronics Srl Selbstjustiertes Ätzverfahren zur Verwirklichung der Wortleitungen integrierter Halbleiterspeicherbauelemente
TW425660B (en) 1997-12-12 2001-03-11 Mosel Vitelic Inc Method of forming uniform dielectric layer between two conductive layers in integrated circuit
US5978274A (en) * 1998-08-03 1999-11-02 Winbond Electronics Corp. Method for erasing split-gate flash memory
KR100276653B1 (ko) 1998-08-27 2001-01-15 윤종용 스프릿 게이트형 불휘발성 메모리 셀의 구동방법 및 이 셀들을구비한 반도체 메모리 장치의 구동방법
JP3241330B2 (ja) * 1998-10-08 2001-12-25 日本電気株式会社 フラッシュメモリおよびその製造方法
US6034882A (en) * 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6385074B1 (en) 1998-11-16 2002-05-07 Matrix Semiconductor, Inc. Integrated circuit structure including three-dimensional memory array
US6483736B2 (en) 1998-11-16 2002-11-19 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6351406B1 (en) 1998-11-16 2002-02-26 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
US6091104A (en) 1999-03-24 2000-07-18 Chen; Chiou-Feng Flash memory cell with self-aligned gates and fabrication process
US6534816B1 (en) 1999-03-24 2003-03-18 John M. Caywood Method and apparatus for injecting charge onto the floating gate of a nonvolatile memory cell
US6384451B1 (en) 1999-03-24 2002-05-07 John Caywood Method and apparatus for injecting charge onto the floating gate of a nonvolatile memory cell
US20040021170A1 (en) * 1999-03-24 2004-02-05 Caywood John M. Method and apparatus for injecting charge onto the floating gate of a nonvolatile memory cell
US6103573A (en) 1999-06-30 2000-08-15 Sandisk Corporation Processing techniques for making a dual floating gate EEPROM cell array
US6151248A (en) 1999-06-30 2000-11-21 Sandisk Corporation Dual floating gate EEPROM cell array with steering gates shared by adjacent cells
US6901006B1 (en) * 1999-07-14 2005-05-31 Hitachi, Ltd. Semiconductor integrated circuit device including first, second and third gates
US6184554B1 (en) * 1999-08-09 2001-02-06 Actrans System Inc. Memory cell with self-aligned floating gate and separate select gate, and fabrication process
US6091633A (en) * 1999-08-09 2000-07-18 Sandisk Corporation Memory array architecture utilizing global bit lines shared by multiple cells
US6222227B1 (en) * 1999-08-09 2001-04-24 Actrans System Inc. Memory cell with self-aligned floating gate and separate select gate, and fabrication process
US6426893B1 (en) * 2000-02-17 2002-07-30 Sandisk Corporation Flash eeprom system with simultaneous multiple data sector programming and storage of physical block characteristics in other designated blocks
JP4117998B2 (ja) * 2000-03-30 2008-07-16 シャープ株式会社 不揮発性半導体記憶装置、その読み出し、書き込み方法及び消去方法、その製造方法
US8575719B2 (en) 2000-04-28 2013-11-05 Sandisk 3D Llc Silicon nitride antifuse for use in diode-antifuse memory arrays
US6631085B2 (en) 2000-04-28 2003-10-07 Matrix Semiconductor, Inc. Three-dimensional memory array incorporating serial chain diode stack
US6888750B2 (en) * 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
US6426896B1 (en) 2000-05-22 2002-07-30 Actrans System Inc. Flash memory cell with contactless bit line, and process of fabrication
JP2001357682A (ja) 2000-06-12 2001-12-26 Sony Corp メモリシステムおよびそのプログラム方法
JP3573691B2 (ja) * 2000-07-03 2004-10-06 シャープ株式会社 不揮発性半導体記憶装置およびその製造方法
EP1172861A3 (en) * 2000-07-12 2003-11-05 Matsushita Electric Industrial Co., Ltd. Nonvolatile semiconductor memory device and method for fabricating the same
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
EP2323164B1 (en) 2000-08-14 2015-11-25 SanDisk 3D LLC Multilevel memory array and method for making same
US7113432B2 (en) * 2000-09-14 2006-09-26 Sandisk Corporation Compressed event counting technique and application to a flash memory system
US6345001B1 (en) 2000-09-14 2002-02-05 Sandisk Corporation Compressed event counting technique and application to a flash memory system
US6512263B1 (en) 2000-09-22 2003-01-28 Sandisk Corporation Non-volatile memory cell array having discontinuous source and drain diffusions contacted by continuous bit line conductors and methods of forming
US6684289B1 (en) 2000-11-22 2004-01-27 Sandisk Corporation Techniques for operating non-volatile memory systems with data sectors having different sizes than the sizes of the pages and/or blocks of the memory
US6549463B2 (en) * 2000-12-15 2003-04-15 Halo Lsi, Inc. Fast program to program verify method
US6661730B1 (en) 2000-12-22 2003-12-09 Matrix Semiconductor, Inc. Partial selection of passive element memory cell sub-arrays for write operation
US6396745B1 (en) * 2001-02-15 2002-05-28 United Microelectronics Corp. Vertical two-transistor flash memory
US20020130357A1 (en) 2001-03-14 2002-09-19 Hurley Kelly T. Self-aligned floating gate flash cell system and method
US6618295B2 (en) 2001-03-21 2003-09-09 Matrix Semiconductor, Inc. Method and apparatus for biasing selected and unselected array lines when writing a memory array
US6504753B1 (en) 2001-03-21 2003-01-07 Matrix Semiconductor, Inc. Method and apparatus for discharging memory array lines
US6545898B1 (en) 2001-03-21 2003-04-08 Silicon Valley Bank Method and apparatus for writing memory arrays using external source of high programming voltage
US6897514B2 (en) * 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6894343B2 (en) * 2001-05-18 2005-05-17 Sandisk Corporation Floating gate memory cells utilizing substrate trenches to scale down their size
US6936887B2 (en) * 2001-05-18 2005-08-30 Sandisk Corporation Non-volatile memory cells utilizing substrate trenches
US6563733B2 (en) * 2001-05-24 2003-05-13 Winbond Electronics Corporation Memory array architectures based on a triple-polysilicon source-side injection non-volatile memory cell
US6522585B2 (en) 2001-05-25 2003-02-18 Sandisk Corporation Dual-cell soft programming for virtual-ground memory arrays
US6532172B2 (en) * 2001-05-31 2003-03-11 Sandisk Corporation Steering gate and bit line segmentation in non-volatile memories
US6493269B1 (en) 2001-05-31 2002-12-10 Sandisk Corporation Dual cell reading and writing technique
JP2002368144A (ja) * 2001-06-13 2002-12-20 Hitachi Ltd 不揮発性半導体記憶装置およびその製造方法
US6525368B1 (en) * 2001-06-27 2003-02-25 Advanced Micro Devices, Inc. High density flash EEPROM array with source side injection
US6522580B2 (en) 2001-06-27 2003-02-18 Sandisk Corporation Operating techniques for reducing effects of coupling between storage elements of a non-volatile memory operated in multiple data states
US6762092B2 (en) * 2001-08-08 2004-07-13 Sandisk Corporation Scalable self-aligned dual floating gate memory cell array and methods of forming the array
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6525953B1 (en) 2001-08-13 2003-02-25 Matrix Semiconductor, Inc. Vertically-stacked, field-programmable, nonvolatile memory and method of fabrication
US6841813B2 (en) * 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US6963103B2 (en) * 2001-08-30 2005-11-08 Micron Technology, Inc. SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
ITRM20010530A1 (it) * 2001-08-31 2003-02-28 Micron Technology Inc Marcatura di settore di memoria flash per consecutiva cancellazione in settore o banco.
US6741502B1 (en) * 2001-09-17 2004-05-25 Sandisk Corporation Background operation for memory cells
US7554842B2 (en) * 2001-09-17 2009-06-30 Sandisk Corporation Multi-purpose non-volatile memory card
US7177197B2 (en) * 2001-09-17 2007-02-13 Sandisk Corporation Latched programming of memory and method
US6717847B2 (en) * 2001-09-17 2004-04-06 Sandisk Corporation Selective operation of a multi-state non-volatile memory system in a binary mode
US6985388B2 (en) 2001-09-17 2006-01-10 Sandisk Corporation Dynamic column block selection
US7170802B2 (en) * 2003-12-31 2007-01-30 Sandisk Corporation Flexible and area efficient column redundancy for non-volatile memories
US6456528B1 (en) 2001-09-17 2002-09-24 Sandisk Corporation Selective operation of a multi-state non-volatile memory system in a binary mode
US6552932B1 (en) * 2001-09-21 2003-04-22 Sandisk Corporation Segmented metal bitlines
US6897522B2 (en) 2001-10-31 2005-05-24 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
US6549447B1 (en) * 2001-10-31 2003-04-15 Peter Fricke Memory cell structure
US6925007B2 (en) * 2001-10-31 2005-08-02 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
US6624485B2 (en) 2001-11-05 2003-09-23 Matrix Semiconductor, Inc. Three-dimensional, mask-programmed read only memory
JP3966718B2 (ja) * 2001-11-28 2007-08-29 富士通株式会社 半導体記憶装置
US6967872B2 (en) * 2001-12-18 2005-11-22 Sandisk Corporation Method and system for programming and inhibiting multi-level, non-volatile memory cells
US6621739B2 (en) 2002-01-18 2003-09-16 Sandisk Corporation Reducing the effects of noise in non-volatile memories through multiple reads
US6850441B2 (en) * 2002-01-18 2005-02-01 Sandisk Corporation Noise reduction technique for transistors and small devices utilizing an episodic agitation
US6542407B1 (en) * 2002-01-18 2003-04-01 Sandisk Corporation Techniques of recovering data from memory cells affected by field coupling with adjacent memory cells
US6871257B2 (en) 2002-02-22 2005-03-22 Sandisk Corporation Pipelined parallel programming operation in a non-volatile memory system
US6795349B2 (en) * 2002-02-28 2004-09-21 Sandisk Corporation Method and system for efficiently reading and programming of dual cell memory elements
US6853049B2 (en) 2002-03-13 2005-02-08 Matrix Semiconductor, Inc. Silicide-silicon oxide-semiconductor antifuse device and method of making
US6639309B2 (en) * 2002-03-28 2003-10-28 Sandisk Corporation Memory package with a controller on one side of a printed circuit board and memory on another side of the circuit board
FR2838554B1 (fr) * 2002-04-15 2004-07-09 St Microelectronics Sa Dispositif semiconducteur de memoire, non volatile, programmable et effacable electriquement, a une seule couche de materiau de grille, et plan memoire correspondant
ATE419650T1 (de) * 2002-05-07 2009-01-15 Nxp Bv Herstellungsverfahren eines halbleiterfestwertspeichers
JP2003346484A (ja) * 2002-05-23 2003-12-05 Mitsubishi Electric Corp 不揮発性半導体記憶装置
WO2004001852A1 (en) * 2002-06-19 2003-12-31 Sandisk Corporation Deep wordline trench to shield cross coupling between adjacent cells for scaled nand
US6894930B2 (en) 2002-06-19 2005-05-17 Sandisk Corporation Deep wordline trench to shield cross coupling between adjacent cells for scaled NAND
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US6781877B2 (en) * 2002-09-06 2004-08-24 Sandisk Corporation Techniques for reducing effects of coupling between storage elements of adjacent rows of memory cells
US6908817B2 (en) * 2002-10-09 2005-06-21 Sandisk Corporation Flash memory array with increased coupling between floating and control gates
US7339822B2 (en) * 2002-12-06 2008-03-04 Sandisk Corporation Current-limited latch
US6901498B2 (en) 2002-12-09 2005-05-31 Sandisk Corporation Zone boundary adjustment for defects in non-volatile memories
US6829167B2 (en) * 2002-12-12 2004-12-07 Sandisk Corporation Error recovery for nonvolatile memory
US6944063B2 (en) * 2003-01-28 2005-09-13 Sandisk Corporation Non-volatile semiconductor memory with large erase blocks storing cycle counts
US7190018B2 (en) * 2003-04-07 2007-03-13 Silicon Storage Technology, Inc. Bi-directional read/program non-volatile floating gate memory cell with independent controllable control gates, and array thereof, and method of formation
US6806531B1 (en) * 2003-04-07 2004-10-19 Silicon Storage Technology, Inc. Non-volatile floating gate memory cell with floating gates formed in cavities, and array thereof, and method of formation
US7183163B2 (en) * 2003-04-07 2007-02-27 Silicon Storage Technology, Inc. Method of manufacturing an isolation-less, contact-less array of bi-directional read/program non-volatile floating gate memory cells with independent controllable control gates
US6936883B2 (en) * 2003-04-07 2005-08-30 Silicon Storage Technology, Inc. Bi-directional read/program non-volatile floating gate memory cell and array thereof, and method of formation
US7008846B2 (en) * 2003-04-23 2006-03-07 Silicon Storage Technology, Inc. Non-volatile floating gate memory cell with floating gates formed as spacers, and an array thereof, and a method of manufacturing
US7045849B2 (en) * 2003-05-21 2006-05-16 Sandisk Corporation Use of voids between elements in semiconductor structures for isolation
US7115942B2 (en) * 2004-07-01 2006-10-03 Chih-Hsin Wang Method and apparatus for nonvolatile memory
US7759719B2 (en) * 2004-07-01 2010-07-20 Chih-Hsin Wang Electrically alterable memory cell
US7550800B2 (en) * 2003-06-06 2009-06-23 Chih-Hsin Wang Method and apparatus transporting charges in semiconductor device and semiconductor memory device
US6958513B2 (en) * 2003-06-06 2005-10-25 Chih-Hsin Wang Floating-gate memory cell having trench structure with ballistic-charge injector, and the array of memory cells
US7613041B2 (en) * 2003-06-06 2009-11-03 Chih-Hsin Wang Methods for operating semiconductor device and semiconductor memory device
US7297634B2 (en) * 2003-06-06 2007-11-20 Marvell World Trade Ltd. Method and apparatus for semiconductor device and semiconductor memory device
US7237074B2 (en) * 2003-06-13 2007-06-26 Sandisk Corporation Tracking cells for a memory system
US6950348B2 (en) * 2003-06-20 2005-09-27 Sandisk Corporation Source controlled operation of non-volatile memories
US7105406B2 (en) * 2003-06-20 2006-09-12 Sandisk Corporation Self aligned non-volatile memory cell and process for fabrication
US6869843B2 (en) * 2003-06-27 2005-03-22 Macronix International Co., Ltd. Non-volatile memory cell with dielectric spacers along sidewalls of a component stack, and method for forming same
US20050012137A1 (en) * 2003-07-18 2005-01-20 Amitay Levi Nonvolatile memory cell having floating gate, control gate and separate erase gate, an array of such memory cells, and method of manufacturing
US6861315B1 (en) * 2003-08-14 2005-03-01 Silicon Storage Technology, Inc. Method of manufacturing an array of bi-directional nonvolatile memory cells
US7046555B2 (en) 2003-09-17 2006-05-16 Sandisk Corporation Methods for identifying non-volatile memory elements with poor subthreshold slope or weak transconductance
US7177183B2 (en) 2003-09-30 2007-02-13 Sandisk 3D Llc Multiple twin cell non-volatile memory array and logic block structure and method therefor
US7221008B2 (en) * 2003-10-06 2007-05-22 Sandisk Corporation Bitline direction shielding to avoid cross coupling between adjacent cells for NAND flash memory
US7057931B2 (en) * 2003-11-07 2006-06-06 Sandisk Corporation Flash memory programming using gate induced junction leakage current
US7242050B2 (en) * 2003-11-13 2007-07-10 Silicon Storage Technology, Inc. Stacked gate memory cell with erase to gate, array, and method of manufacturing
JP4545423B2 (ja) * 2003-12-09 2010-09-15 ルネサスエレクトロニクス株式会社 半導体装置
US7049652B2 (en) * 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US7173863B2 (en) 2004-03-08 2007-02-06 Sandisk Corporation Flash controller cache architecture
US20050251617A1 (en) * 2004-05-07 2005-11-10 Sinclair Alan W Hybrid non-volatile memory system
US6888758B1 (en) * 2004-01-21 2005-05-03 Sandisk Corporation Programming non-volatile memory
US7139198B2 (en) * 2004-01-27 2006-11-21 Sandisk Corporation Efficient verification for coarse/fine programming of non-volatile memory
US7002843B2 (en) * 2004-01-27 2006-02-21 Sandisk Corporation Variable current sinking for coarse/fine programming of non-volatile memory
US7068539B2 (en) * 2004-01-27 2006-06-27 Sandisk Corporation Charge packet metering for coarse/fine programming of non-volatile memory
US7030444B2 (en) * 2004-02-25 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Space process to prevent the reverse tunneling in split gate flash
US7183153B2 (en) * 2004-03-12 2007-02-27 Sandisk Corporation Method of manufacturing self aligned non-volatile memory cells
KR100852506B1 (ko) 2004-05-05 2008-08-18 샌디스크 코포레이션 비휘발성 메모리의 프로그래밍을 제어하기 위한 부스팅
US7023733B2 (en) * 2004-05-05 2006-04-04 Sandisk Corporation Boosting to control programming of non-volatile memory
US7020026B2 (en) * 2004-05-05 2006-03-28 Sandisk Corporation Bitline governed approach for program control of non-volatile memory
US20080203464A1 (en) * 2004-07-01 2008-08-28 Chih-Hsin Wang Electrically alterable non-volatile memory and array
US7087952B2 (en) * 2004-11-01 2006-08-08 International Business Machines Corporation Dual function FinFET, finmemory and method of manufacture
US7092290B2 (en) * 2004-11-16 2006-08-15 Sandisk Corporation High speed programming system with reduced over programming
US7173859B2 (en) * 2004-11-16 2007-02-06 Sandisk Corporation Faster programming of higher level states in multi-level cell flash memory
US7381615B2 (en) 2004-11-23 2008-06-03 Sandisk Corporation Methods for self-aligned trench filling with grown dielectric for high coupling ratio in semiconductor devices
US7402886B2 (en) * 2004-11-23 2008-07-22 Sandisk Corporation Memory with self-aligned trenches for narrow gap isolation regions
US7482223B2 (en) * 2004-12-22 2009-01-27 Sandisk Corporation Multi-thickness dielectric for semiconductor memory
US7473589B2 (en) * 2005-12-09 2009-01-06 Macronix International Co., Ltd. Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same
US8482052B2 (en) 2005-01-03 2013-07-09 Macronix International Co., Ltd. Silicon on insulator and thin film transistor bandgap engineered split gate memory
US7709334B2 (en) * 2005-12-09 2010-05-04 Macronix International Co., Ltd. Stacked non-volatile memory device and methods for fabricating the same
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7541638B2 (en) * 2005-02-28 2009-06-02 Skymedi Corporation Symmetrical and self-aligned non-volatile memory structure
US7313023B2 (en) * 2005-03-11 2007-12-25 Sandisk Corporation Partition of non-volatile memory array to reduce bit line capacitance
US7411244B2 (en) 2005-06-28 2008-08-12 Chih-Hsin Wang Low power electrically alterable nonvolatile memory cells and arrays
TWI260769B (en) * 2005-08-23 2006-08-21 Ememory Technology Inc Non-volatile memory and operating method thereof
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US7640424B2 (en) * 2005-10-13 2009-12-29 Sandisk Corporation Initialization of flash storage via an embedded controller
US7286406B2 (en) * 2005-10-14 2007-10-23 Sandisk Corporation Method for controlled programming of non-volatile memory exhibiting bit line coupling
US7206235B1 (en) 2005-10-14 2007-04-17 Sandisk Corporation Apparatus for controlled programming of non-volatile memory exhibiting bit line coupling
US7541240B2 (en) * 2005-10-18 2009-06-02 Sandisk Corporation Integration process flow for flash devices with low gap fill aspect ratio
US7634585B2 (en) * 2005-11-04 2009-12-15 Sandisk Corporation In-line cache using nonvolatile memory between host and disk device
US20070106842A1 (en) * 2005-11-04 2007-05-10 Conley Kevin M Enhanced first level storage caching methods using nonvolatile memory
US7447066B2 (en) * 2005-11-08 2008-11-04 Sandisk Corporation Memory with retargetable memory cell redundancy
US7536627B2 (en) * 2005-12-27 2009-05-19 Sandisk Corporation Storing downloadable firmware on bulk media
US7546515B2 (en) * 2005-12-27 2009-06-09 Sandisk Corporation Method of storing downloadable firmware on bulk media
US7439133B2 (en) * 2006-01-02 2008-10-21 Skymedi Corporation Memory structure and method of manufacturing a memory array
US7972974B2 (en) * 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7902589B2 (en) * 2006-02-17 2011-03-08 Macronix International Co., Ltd. Dual gate multi-bit semiconductor memory array
US7253057B1 (en) 2006-04-06 2007-08-07 Atmel Corporation Memory cell with reduced size and standby current
US7907450B2 (en) * 2006-05-08 2011-03-15 Macronix International Co., Ltd. Methods and apparatus for implementing bit-by-bit erase of a flash memory device
US7486561B2 (en) * 2006-06-22 2009-02-03 Sandisk Corporation Method for non-real time reprogramming of non-volatile memory to achieve tighter distribution of threshold voltages
US7489549B2 (en) * 2006-06-22 2009-02-10 Sandisk Corporation System for non-real time reprogramming of non-volatile memory to achieve tighter distribution of threshold voltages
US7494860B2 (en) * 2006-08-16 2009-02-24 Sandisk Corporation Methods of forming nonvolatile memories with L-shaped floating gates
WO2008021736A2 (en) 2006-08-16 2008-02-21 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7755132B2 (en) 2006-08-16 2010-07-13 Sandisk Corporation Nonvolatile memories with shaped floating gates
US7646054B2 (en) * 2006-09-19 2010-01-12 Sandisk Corporation Array of non-volatile memory cells with floating gates formed of spacers in substrate trenches
US7696044B2 (en) * 2006-09-19 2010-04-13 Sandisk Corporation Method of making an array of non-volatile memory cells with floating gates formed of spacers in substrate trenches
US20080074920A1 (en) * 2006-09-21 2008-03-27 Henry Chien Nonvolatile Memory with Reduced Coupling Between Floating Gates
US7615445B2 (en) * 2006-09-21 2009-11-10 Sandisk Corporation Methods of reducing coupling between floating gates in nonvolatile memory
US7450426B2 (en) * 2006-10-10 2008-11-11 Sandisk Corporation Systems utilizing variable program voltage increment values in non-volatile memory program operations
US7474561B2 (en) * 2006-10-10 2009-01-06 Sandisk Corporation Variable program voltage increment values in non-volatile memory program operations
US8772858B2 (en) * 2006-10-11 2014-07-08 Macronix International Co., Ltd. Vertical channel memory and manufacturing method thereof and operating method using the same
US7811890B2 (en) * 2006-10-11 2010-10-12 Macronix International Co., Ltd. Vertical channel transistor structure and manufacturing method thereof
US7642160B2 (en) * 2006-12-21 2010-01-05 Sandisk Corporation Method of forming a flash NAND memory cell array with charge storage elements positioned in trenches
US7800161B2 (en) * 2006-12-21 2010-09-21 Sandisk Corporation Flash NAND memory cell array with charge storage elements positioned in trenches
US20080160680A1 (en) * 2006-12-28 2008-07-03 Yuan Jack H Methods of fabricating shield plates for reduced field coupling in nonvolatile memory
US20080157169A1 (en) * 2006-12-28 2008-07-03 Yuan Jack H Shield plates for reduced field coupling in nonvolatile memory
US7450424B2 (en) * 2007-01-31 2008-11-11 Skymedi Corporation Method for reading a memory array with a non-volatile memory structure
US7745285B2 (en) * 2007-03-30 2010-06-29 Sandisk Corporation Methods of forming and operating NAND memory with side-tunneling
US7508715B2 (en) * 2007-07-03 2009-03-24 Sandisk Corporation Coarse/fine program verification in non-volatile memory using different reference levels for improved sensing
US7599224B2 (en) * 2007-07-03 2009-10-06 Sandisk Corporation Systems for coarse/fine program verification in non-volatile memory using different reference levels for improved sensing
US7968934B2 (en) * 2007-07-11 2011-06-28 Infineon Technologies Ag Memory device including a gate control layer
US7737488B2 (en) * 2007-08-09 2010-06-15 Macronix International Co., Ltd. Blocking dielectric engineered charge trapping memory cell with high speed erase
US8320191B2 (en) 2007-08-30 2012-11-27 Infineon Technologies Ag Memory cell arrangement, method for controlling a memory cell, memory array and electronic device
US7818493B2 (en) * 2007-09-07 2010-10-19 Sandisk Corporation Adaptive block list management
US7894263B2 (en) * 2007-09-28 2011-02-22 Sandisk Corporation High voltage generation and control in source-side injection programming of non-volatile memory
US7800159B2 (en) * 2007-10-24 2010-09-21 Silicon Storage Technology, Inc. Array of contactless non-volatile memory cells
US8072023B1 (en) 2007-11-12 2011-12-06 Marvell International Ltd. Isolation for non-volatile memory cell array
US8120088B1 (en) 2007-12-07 2012-02-21 Marvell International Ltd. Non-volatile memory cell and array
US7877522B2 (en) * 2008-05-27 2011-01-25 Sandisk Il Ltd. Method of monitoring host activity
KR20100080243A (ko) * 2008-12-31 2010-07-08 주식회사 동부하이텍 반도체 소자 및 그 제조 방법
US8094500B2 (en) * 2009-01-05 2012-01-10 Sandisk Technologies Inc. Non-volatile memory and method with write cache partitioning
US8040744B2 (en) * 2009-01-05 2011-10-18 Sandisk Technologies Inc. Spare block management of non-volatile memories
US20100174845A1 (en) * 2009-01-05 2010-07-08 Sergey Anatolievich Gorobets Wear Leveling for Non-Volatile Memories: Maintenance of Experience Count and Passive Techniques
US8244960B2 (en) * 2009-01-05 2012-08-14 Sandisk Technologies Inc. Non-volatile memory and method with write cache partition management methods
US8700840B2 (en) * 2009-01-05 2014-04-15 SanDisk Technologies, Inc. Nonvolatile memory with write cache having flush/eviction methods
US8027195B2 (en) * 2009-06-05 2011-09-27 SanDisk Technologies, Inc. Folding data stored in binary format into multi-state format within non-volatile memory devices
US8102705B2 (en) 2009-06-05 2012-01-24 Sandisk Technologies Inc. Structure and method for shuffling data within non-volatile memory devices
US7974124B2 (en) * 2009-06-24 2011-07-05 Sandisk Corporation Pointer based column selection techniques in non-volatile memories
US20110002169A1 (en) * 2009-07-06 2011-01-06 Yan Li Bad Column Management with Bit Information in Non-Volatile Memory Systems
KR101277147B1 (ko) * 2009-12-10 2013-06-20 한국전자통신연구원 이이피롬 장치 및 그 제조 방법
US8144512B2 (en) 2009-12-18 2012-03-27 Sandisk Technologies Inc. Data transfer flows for on-chip folding
US8725935B2 (en) 2009-12-18 2014-05-13 Sandisk Technologies Inc. Balanced performance for on-chip folding of non-volatile memories
US8468294B2 (en) * 2009-12-18 2013-06-18 Sandisk Technologies Inc. Non-volatile memory with multi-gear control using on-chip folding of data
US8416624B2 (en) 2010-05-21 2013-04-09 SanDisk Technologies, Inc. Erase and programming techniques to reduce the widening of state distributions in non-volatile memories
US9342446B2 (en) 2011-03-29 2016-05-17 SanDisk Technologies, Inc. Non-volatile memory system allowing reverse eviction of data updates to non-volatile binary cache
US9240405B2 (en) 2011-04-19 2016-01-19 Macronix International Co., Ltd. Memory with off-chip controller
US8842473B2 (en) 2012-03-15 2014-09-23 Sandisk Technologies Inc. Techniques for accessing column selecting shift register with skipped entries in non-volatile memories
US8681548B2 (en) 2012-05-03 2014-03-25 Sandisk Technologies Inc. Column redundancy circuitry for non-volatile memory
US9490035B2 (en) 2012-09-28 2016-11-08 SanDisk Technologies, Inc. Centralized variable rate serializer and deserializer for bad column management
US9076506B2 (en) 2012-09-28 2015-07-07 Sandisk Technologies Inc. Variable rate parallel to serial shift register
US8897080B2 (en) 2012-09-28 2014-11-25 Sandisk Technologies Inc. Variable rate serial to parallel shift register
KR102089682B1 (ko) 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9934872B2 (en) 2014-10-30 2018-04-03 Sandisk Technologies Llc Erase stress and delta erase loop count methods for various fail modes in non-volatile memory
US9224502B1 (en) 2015-01-14 2015-12-29 Sandisk Technologies Inc. Techniques for detection and treating memory hole to local interconnect marginality defects
US10032524B2 (en) 2015-02-09 2018-07-24 Sandisk Technologies Llc Techniques for determining local interconnect defects
US9627395B2 (en) 2015-02-11 2017-04-18 Sandisk Technologies Llc Enhanced channel mobility three-dimensional memory structure and method of making thereof
US9269446B1 (en) 2015-04-08 2016-02-23 Sandisk Technologies Inc. Methods to improve programming of slow cells
US9564219B2 (en) 2015-04-08 2017-02-07 Sandisk Technologies Llc Current based detection and recording of memory hole-interconnect spacing defects
US9711513B2 (en) * 2015-08-14 2017-07-18 Globalfoundries Inc. Semiconductor structure including a nonvolatile memory cell and method for the formation thereof
US9478495B1 (en) 2015-10-26 2016-10-25 Sandisk Technologies Llc Three dimensional memory device containing aluminum source contact via structure and method of making thereof
US9583640B1 (en) * 2015-12-29 2017-02-28 Globalfoundries Inc. Method including a formation of a control gate of a nonvolatile memory cell and semiconductor structure
US9922986B2 (en) * 2016-05-16 2018-03-20 Globalfoundries Inc. Semiconductor structure including a plurality of pairs of nonvolatile memory cells and an edge cell and method for the formation thereof
US10847225B2 (en) * 2018-06-20 2020-11-24 Microchip Technology Incorporated Split-gate flash memory cell with improved read performance

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US32401A (en) * 1861-05-21 Strickland kneass
US4074304A (en) * 1974-10-04 1978-02-14 Nippon Electric Company, Ltd. Semiconductor device having a miniature junction area and process for fabricating same
US4271421A (en) * 1977-01-26 1981-06-02 Texas Instruments Incorporated High density N-channel silicon gate read only memory
US4151020A (en) * 1977-01-26 1979-04-24 Texas Instruments Incorporated High density N-channel silicon gate read only memory
US4151021A (en) * 1977-01-26 1979-04-24 Texas Instruments Incorporated Method of making a high density floating gate electrically programmable ROM
US4184207A (en) * 1978-01-27 1980-01-15 Texas Instruments Incorporated High density floating gate electrically programmable ROM
US4202044A (en) * 1978-06-13 1980-05-06 International Business Machines Corporation Quaternary FET read only memory
USRE32401E (en) * 1978-06-13 1987-04-14 International Business Machines Corporation Quaternary FET read only memory
JPS6046554B2 (ja) * 1978-12-14 1985-10-16 株式会社東芝 半導体記憶素子及び記憶回路
US4302766A (en) * 1979-01-05 1981-11-24 Texas Instruments Incorporated Self-limiting erasable memory cell with triple level polysilicon
US4561004A (en) * 1979-10-26 1985-12-24 Texas Instruments High density, electrically erasable, floating gate memory cell
US4331968A (en) * 1980-03-17 1982-05-25 Mostek Corporation Three layer floating gate memory transistor with erase gate over field oxide region
US4380057A (en) * 1980-10-27 1983-04-12 International Business Machines Corporation Electrically alterable double dense memory
DE3175125D1 (en) * 1980-11-20 1986-09-18 Toshiba Kk Semiconductor memory device and method for manufacturing the same
JPS57132256A (en) * 1981-02-09 1982-08-16 Sony Corp Memory device
US4488265A (en) * 1982-06-30 1984-12-11 Ibm Corporation Integrated dynamic RAM and ROS
JPS59111370A (ja) * 1982-12-16 1984-06-27 Seiko Instr & Electronics Ltd 不揮発性半導体メモリ
JPS59161873A (ja) * 1983-03-07 1984-09-12 Agency Of Ind Science & Technol 半導体不揮発性メモリ
US4583201A (en) * 1983-09-08 1986-04-15 International Business Machines Corporation Resistor personalized memory device using a resistive gate fet
KR900001267B1 (ko) * 1983-11-30 1990-03-05 후지쓰 가부시끼가이샤 Soi형 반도체 장치의 제조방법
EP0157607B1 (en) * 1984-04-02 1993-02-10 The Board Of Trustees Of The Leland Stanford Junior University Analog data storage system
JPS60234372A (ja) * 1984-05-07 1985-11-21 Toshiba Corp 半導体装置の製造方法
JPH0760864B2 (ja) * 1984-07-13 1995-06-28 株式会社日立製作所 半導体集積回路装置
JPS61145868A (ja) * 1984-12-20 1986-07-03 Toshiba Corp 半導体装置の製造方法
JPS61181168A (ja) * 1985-02-07 1986-08-13 Nec Corp 不揮発性半導体記憶装置
JPH0713879B2 (ja) * 1985-06-21 1995-02-15 三菱電機株式会社 半導体記憶装置
US4677736A (en) * 1986-04-17 1987-07-07 General Electric Company Self-aligned inlay transistor with or without source and drain self-aligned metallization extensions
US4805142A (en) * 1986-07-01 1989-02-14 International Business Machines Corporation Multiple ROM data state, read/write memory cell
US4794565A (en) * 1986-09-15 1988-12-27 The Regents Of The University Of California Electrically programmable memory device employing source side injection
JPH0778997B2 (ja) * 1987-10-30 1995-08-23 株式会社東芝 不揮発性半導体メモリ
JPH0752767B2 (ja) * 1987-11-11 1995-06-05 日本電気株式会社 不揮発生半導体装置の製造方法
US4997781A (en) * 1987-11-24 1991-03-05 Texas Instruments Incorporated Method of making planarized EPROM array
DE3816358A1 (de) * 1988-05-13 1989-11-23 Eurosil Electronic Gmbh Nichtfluechtige speicherzelle und verfahren zur herstellung
JP2717543B2 (ja) * 1988-06-02 1998-02-18 セイコーインスツルメンツ株式会社 半導体不揮発性メモリの製造方法
US5028553A (en) * 1988-06-03 1991-07-02 Texas Instruments Incorporated Method of making fast, trench isolated, planar flash EEPROMS with silicided bitlines
US5095344A (en) * 1988-06-08 1992-03-10 Eliyahou Harari Highly compact eprom and flash eeprom devices
FR2634318B1 (fr) * 1988-07-13 1992-02-21 Commissariat Energie Atomique Procede de fabrication d'une cellule de memoire integree
US5420060A (en) * 1988-11-14 1995-05-30 Texas Instruments Incorporated Method of making contract-free floating-gate memory array with silicided buried bitlines and with single-step defined floating gates
US5042009A (en) * 1988-12-09 1991-08-20 Waferscale Integration, Inc. Method for programming a floating gate memory device
US5057886A (en) * 1988-12-21 1991-10-15 Texas Instruments Incorporated Non-volatile memory with improved coupling between gates
US5070032A (en) * 1989-03-15 1991-12-03 Sundisk Corporation Method of making dense flash eeprom semiconductor memory structures
US5081054A (en) * 1989-04-03 1992-01-14 Atmel Corporation Fabrication process for programmable and erasable MOS memory device
IT1235690B (it) * 1989-04-07 1992-09-21 Sgs Thomson Microelectronics Procedimento di fabbricazione per una matrice di celle eprom organizzate a tovaglia.
EP1031992B1 (en) * 1989-04-13 2006-06-21 SanDisk Corporation Flash EEPROM system
US5172338B1 (en) * 1989-04-13 1997-07-08 Sandisk Corp Multi-state eeprom read and write circuits and techniques
US5017515A (en) * 1989-10-02 1991-05-21 Texas Instruments Incorporated Process for minimizing lateral distance between elements in an integrated circuit by using sidewall spacers
US5045488A (en) * 1990-01-22 1991-09-03 Silicon Storage Technology, Inc. Method of manufacturing a single transistor non-volatile, electrically alterable semiconductor memory device
US5067108A (en) * 1990-01-22 1991-11-19 Silicon Storage Technology, Inc. Single transistor non-volatile electrically alterable semiconductor memory device with a re-crystallized floating gate
US5029130A (en) * 1990-01-22 1991-07-02 Silicon Storage Technology, Inc. Single transistor non-valatile electrically alterable semiconductor memory device
JPH03245575A (ja) * 1990-02-22 1991-11-01 Mitsubishi Electric Corp 半導体記憶装置及びその製造方法
US5225361A (en) * 1990-03-08 1993-07-06 Matshshita Electronics Coropration Non-volatile semiconductor memory device and a method for fabricating the same
IT1243303B (it) * 1990-07-24 1994-05-26 Sgs Thomson Microelectronics Schieramento di celle di memoria con linee metalliche di connessione di source e di drain formate sul substrato ed ortogonalmente sovrastate da linee di connessione di gate e procedimento per la sua fabbricazione
US5204841A (en) * 1990-07-27 1993-04-20 International Business Machines Corporation Virtual multi-port RAM
US5280446A (en) * 1990-09-20 1994-01-18 Bright Microelectronics, Inc. Flash eprom memory circuit having source side programming
US5147816A (en) * 1990-09-28 1992-09-15 Texas Instruments Incorporated Method of making nonvolatile memory array having cells with two tunelling windows
JPH04142649A (ja) * 1990-10-04 1992-05-15 Toshiba Corp メモリ装置
EP0521165A1 (en) * 1991-01-22 1993-01-07 Fujitsu Limited Semiconductor storing device
US5218569A (en) * 1991-02-08 1993-06-08 Banks Gerald J Electrically alterable non-volatile memory with n-bits per memory cell
US5396468A (en) * 1991-03-15 1995-03-07 Sundisk Corporation Streamlined write operation for EEPROM system
US5278439A (en) * 1991-08-29 1994-01-11 Ma Yueh Y Self-aligned dual-bit split gate (DSG) flash EEPROM cell
US5264384A (en) * 1991-08-30 1993-11-23 Texas Instruments Incorporated Method of making a non-volatile memory cell
US5284784A (en) * 1991-10-02 1994-02-08 National Semiconductor Corporation Buried bit-line source-side injection flash memory cell
JPH05211338A (ja) * 1991-10-09 1993-08-20 Mitsubishi Electric Corp 不揮発性半導体装置
US5712180A (en) * 1992-01-14 1998-01-27 Sundisk Corporation EEPROM with split gate source side injection
US5313421A (en) * 1992-01-14 1994-05-17 Sundisk Corporation EEPROM with split gate source side injection
US5467305A (en) * 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5347489A (en) * 1992-04-21 1994-09-13 Intel Corporation Method and circuitry for preconditioning shorted rows in a nonvolatile semiconductor memory incorporating row redundancy
US5355347A (en) * 1993-11-08 1994-10-11 Turbo Ic, Inc. Single transistor per cell EEPROM memory device with bit line sector page programming
JP3284744B2 (ja) * 1994-04-20 2002-05-20 松下電器産業株式会社 光学的情報記録媒体
JPH0883855A (ja) * 1994-09-13 1996-03-26 Mitsubishi Electric Corp 不揮発性半導体記憶装置およびその製造方法
US5629890A (en) * 1994-09-14 1997-05-13 Information Storage Devices, Inc. Integrated circuit system for analog signal storing and recovery incorporating read while writing voltage program method
US5429971A (en) * 1994-10-03 1995-07-04 United Microelectronics Corporation Method of making single bit erase flash EEPROM
JP3336813B2 (ja) * 1995-02-01 2002-10-21 ソニー株式会社 不揮発性半導体メモリ装置
US5491657A (en) * 1995-02-24 1996-02-13 Advanced Micro Devices, Inc. Method for bulk (or byte) charging and discharging an array of flash EEPROM memory cells
US5606521A (en) * 1995-06-28 1997-02-25 Philips Electronics North America Corp. Electrically erasable and programmable read only memory with non-uniform dielectric thickness
US5701266A (en) * 1995-12-14 1997-12-23 Intel Corporation Programming flash memory using distributed learning methods
US5818757A (en) * 1996-07-22 1998-10-06 Invox Technology Analog and multi-level memory with reduced program disturb
US5675537A (en) * 1996-08-22 1997-10-07 Advanced Micro Devices, Inc. Erase method for page mode multiple bits-per-cell flash EEPROM

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003242790A (ja) * 2002-02-13 2003-08-29 Seiko Epson Corp 不揮発性半導体記憶装置
JP2018517223A (ja) * 2015-03-31 2018-06-28 シリコン ストーリッジ テクノロージー インコーポレイテッドSilicon Storage Technology, Inc. フラッシュメモリシステムにおける選択していないビット線のプログラミングを禁止する方法及び装置

Also Published As

Publication number Publication date
JP4122413B2 (ja) 2008-07-23
US6002152A (en) 1999-12-14
WO1997032309A1 (en) 1997-09-04
US5883409A (en) 1999-03-16
US5712180A (en) 1998-01-27

Similar Documents

Publication Publication Date Title
JP4122413B2 (ja) スプリットゲートとソース側注入を用いたeeprom
US7449746B2 (en) EEPROM with split gate source side injection
US5847996A (en) Eeprom with split gate source side injection
US6091633A (en) Memory array architecture utilizing global bit lines shared by multiple cells
US6788573B2 (en) Non-volatile semiconductor memory and method of operating the same
US6925007B2 (en) Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
US6261907B1 (en) Method of forming a flash EEPROM device by employing polysilicon sidewall spacer as an erase gate
US5557569A (en) Low voltage flash EEPROM C-cell using fowler-nordheim tunneling
KR19990030738A (ko) 워드라인과 자기정렬된 부우스팅 라인을 가지는 불휘발성 반도체 메모리
US5889303A (en) Split-Control gate electrically erasable programmable read only memory (EEPROM) cell
US6839278B1 (en) Highly-integrated flash memory and mask ROM array architecture
US6642571B2 (en) Nonvolatile semiconductor memory
US5349553A (en) Nonvolatile semiconductor memory device
US6392927B2 (en) Cell array, operating method of the same and manufacturing method of the same
EP0647947B1 (en) Low voltage flash EEPROM X-cell using Fowler-Nordheim tunneling
US6525368B1 (en) High density flash EEPROM array with source side injection
WO2004070730A1 (en) A novel highly-integrated flash memory and mask rom array architecture
JPH08236650A (ja) 不揮発性半導体記憶装置及びその書き込み方法
EP1189238A1 (en) Method for erasing a flash EEPROM memory cell

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070820

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071001

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070921

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080325

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080408

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110516

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110516

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120516

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120516

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130516

Year of fee payment: 5

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130516

Year of fee payment: 5

R370 Written measure of declining of transfer procedure

Free format text: JAPANESE INTERMEDIATE CODE: R370

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130516

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130516

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term