JPH10275784A - 半導体ウェーハ上へのタングステン層のcvd蒸着方法 - Google Patents

半導体ウェーハ上へのタングステン層のcvd蒸着方法

Info

Publication number
JPH10275784A
JPH10275784A JP10077363A JP7736398A JPH10275784A JP H10275784 A JPH10275784 A JP H10275784A JP 10077363 A JP10077363 A JP 10077363A JP 7736398 A JP7736398 A JP 7736398A JP H10275784 A JPH10275784 A JP H10275784A
Authority
JP
Japan
Prior art keywords
tungsten
layer
sccm
semiconductor wafer
vacuum chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10077363A
Other languages
English (en)
Other versions
JP3094004B2 (ja
Inventor
Mei Chang
チャン メイ
Cissy Leung
リューン シシー
David Nin-Kou Wang
ニン クー ワン ディヴィッド
David Cheng
チェン ディヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23576244&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH10275784(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10275784A publication Critical patent/JPH10275784A/ja
Application granted granted Critical
Publication of JP3094004B2 publication Critical patent/JP3094004B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/025Deposition multi-step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 付着速度が促進され、得られたタングステン
層の反射能が改良され、並びにより均一な層を提供する
半導体ウェーハ上にタングステン層を付着するための方
法を提供する。 【解決手段】 真空室内で半導体ウェーハ上にタングス
テン層を付着させる方法であって、半導体ウェーハを、
真空室内を流れる(a) 20〜200sccmのWF6 、(b)
100〜5000sccmのAr、(c) 10〜300sccmの
2 及び(d) 50〜3000sccmのH2を含むガス混合
物と接触させることにより、半導体ウェーハ上の酸化シ
リコン層の上に形成されている中間層上へタングステン
を付着させ、該タングステン付着工程の間、真空室内の
圧力を20〜760Torrに維持し、及びサセプタ温度を
350〜525℃に維持することを含む方法。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウェーハの
プロセスに関する。より詳しくは、本発明は、半導体ウ
ェーハ上にタングステン層を付着させるプロセスの改良
に関する。
【0002】
【従来の技術】シリコンウェーハのような半導体ウェー
ハ上及び半導体ウェーハ中における集積回路構造体の形
成においては、通常、プロセッシングされたウェーハ上
の種々のコンタクトに対して導電性インターコネクト又
はワイヤリングハーネスを形成するために、1種又はそ
れ以上の金属層が用いられる。そのような金属ワイヤリ
ングハーネス又はパターン化された金属層は、過去にお
いては、金属としてアルミニウム又は金が用いられてい
た。経済的な観点からは、金よりもアルミニウムが好ま
しい。しかしながら、集積回路構造のジオメトリーは、
段々小さくなっており、CVDタングステンにより良好
なステップカバレージ及び小さなコンタクトホール又は
バイアスの充填が得られるため、アルミニウムの代わり
にタングステン金属を使用することが好ましくなってい
る。
【0003】しかしながら、半導体ウェーハ上へのタン
グステンの層のブランケット蒸着には問題がないわけで
はない。まず、タングステンの約350℃における従来
の蒸着は、どちらかと言えば遅く、即ち1分間当たり約
300オングストロームである。より速い速度、例えば
1分間当たり1000オングストロームの速度は、温度
を約500〜550℃に上げた時にのみ達成され、これ
は下層の集積回路構造体部分に損傷を与える危険性があ
りうる。さらに、得られたタングステンの層は、常に滑
らかなわけではなく、シリコン表面の反射能の20%以
下に過ぎない。これにより、続いてのタングステン層の
フォトリソグラフィー技術によるパターニングがより困
難なものとなる。さらに、付着の均一性が、比抵抗とし
て測定されるウェーハを横切る厚さにおいて1%以上変
動する。
【0004】
【発明が解決しようとする課題】従って、付着速度が促
進され、得られたタングステン層の反射能が改良され、
並びにより均一な層を提供する半導体ウェーハ上にタン
グステン層をブランケット付着するための方法において
改良を加えることが望まれている。
【0005】
【課題を解決するための手段】従って、本発明の目的
は、改良点として窒素ガスの存在下で半導体ウェーハ上
にタングステンを付着して得られたタングステン層の反
射能を改良することを含む、真空室内で半導体ウェーハ
上にタングステンの層を付着させるための改良方法を提
供することにある。本発明の別の目的は、改良点とし
て、タングステンを半導体ウェーハ上に、約20〜76
0Torrの圧力で付着することによりタングステンの付着
速度を改良し、そしてタングステン層の表面の反射能を
改良することを含む真空室内で半導体ウェーハ上にタン
グステンの層を付着させるための改良方法を提供するこ
とにある。本発明の別の目的は、改良点として、さらに
タングステンの蒸着前に半導体上に核形成層を形成し
て、該核形成層上にタングステンを付着することによ
り、タングステン付着層の均一性を改良することを含む
真空室内で半導体ウェーハ上にタングステンの層を付着
する改良方法を提供することにある。
【0006】本発明のさらに別の目的は、改良点とし
て、タングステンの付着前に半導体の層上に核形成層を
形成して、該核形成層上にタングステンを付着すること
により、タングステン付着層の均一性を改良し、そして
前記半導体ウェーハ上の核形成層上に窒素ガスの存在下
でタングステンを付着させることにより得られたタング
ステンの層の反射能を改良することを含む真空室内で半
導体ウェーハ上にタングステンの層を付着する改良方法
を提供することにある。本発明のさらに別の目的は、改
良点として、タングステンの付着前に半導体上に核形成
層を形成して、該核形成層上にタングステンを付着する
ことにより、タングステン付着層の均一性を改良し、そ
して前記半導体ウェーハ上の核形成層上にタングステン
を約20〜約760Torrの圧力で付着させて、タングス
テン付着速度を改良すること、及び得られたタングステ
ン層の表面の反射能を改良することを含む真空室内で半
導体ウェーハ上にタングステンの層を付着する改良方法
を提供することにある。
【0007】さらに、本発明の別の目的は、改良点とし
て、前記半導体ウェーハ上の核形成層上にタングステン
を窒素雰囲気下、約20〜約760Torrの圧力で付着さ
せて、得られたタングステン層の表面の反射能を改良す
ること、及びタングステン付着速度を改良することを含
む真空室内で半導体ウェーハ上にタングステンの層を付
着する改良方法を提供することにある。さらに、本発明
の別の目的は、改良点として、タングステンの付着前に
半導体上に核形成層を形成して、該核形成層上にタング
ステンを付着することにより、タングステン付着層の均
一性を改良し、そして前記半導体ウェーハ上の核形成層
上にタングステンを窒素雰囲気下、約20〜約760To
rrの圧力で付着させて、得られたタングステン層の表面
の反射能を改良すること、及びタングステン付着速度を
改良することを含む真空室内で半導体ウェーハ上にタン
グステンの層を付着する改良方法を提供することにあ
る。
【0008】
【発明の実施の形態】本発明のこれらの及び他の目的
は、下記の記載及び添付した本発明の方法を示すフロー
シートにより明らかである。本発明は、半導体ウェーハ
上にタングステン層を形成する方法における改良、即
ち、タングステン層の表面の反射能を改良すること、タ
ングステン層の付着速度の増加することを提供するもの
である。一つの実施態様において、タングステン層の表
面の反射能は、付着中の窒素ガスの存在により改良され
うる。付着速度は、付着中の圧力を増加させることによ
っても改良でき、これにより、得られたタングステン層
の表面の反射能についても有利な効果が得られる。さら
に、別の実施態様において、本発明の方法は、タングス
テン層を形成する前にウェーハ上に核形成層を形成する
ことによりコーティング層の均一性を改良することをも
含む。
【0009】本発明の方法の技術において、半導体ウェ
ーハ、例えば既に形成されている集積回路構造の部分を
有する、例えば1又はそれ以上のトランジスターを有す
るシリコン基板を使用することができる。通常、下層の
シリコン基板及びその中に形成された集積回路構造上に
は、シリコン基板内に形成された集積回路構造の下層の
部分への開口又はバイアスを提供するために予めパター
ニング絶縁層、例えばシリコン酸化層が形成されてい
る。タングステンの層は、酸化シリコン層に直接化学蒸
着(CVD)により形成することができないので、タン
グステンの層の下層の構造への接着性を向上するため
に、絶縁層上に中間層を形成する。中間層は、下層の絶
縁層及び上に形成されるタングステン層の両者と化学的
に相溶性であり、タングステン及び絶縁層の両者と良好
な接着性を有する材料のいずれをも含みうる。中間層を
含みうる材料の例には、スパッタリングされたタングス
テン、スパッタリングされたチタンタングステン(Ti
W)、又は窒化チタン(TiN) が含まれ、TiN のスパッタリ
ング、窒素の存在下におけるチタン標的の反応性スパッ
タリング、チタンのスパッタリング及びそれに続く窒素
との熱反応、又はTiN のCVD付着により形成すること
ができる。
【0010】本発明の一実施態様によると、タングステ
ン層は、真空室内でWF6 、Ar、N2、及びH2ガスの組み合
わせを用いてCVD付着により、中間層に直接付着され
る。ガス混合物は、1分間当たり、約20〜約200標
準立法センチメートル(sccm)、好ましくは約20〜約1
00sccmのWF6 、約100〜約5000sccm、好ましく
は約1000sccm〜約3000sccmのアルゴンのような
不活性担体ガス、約10〜約300sccmの窒素、及び約
300〜約2000sccm、好ましくは約500〜約20
00sccmの水素の流量で流される。本発明による、タン
グステン付着において使用されるガス混合物中の窒素の
存在は、付着されたタングステン層の反射能を増加し、
続いてのパターニング工程におけるフォトリソグラフィ
ーの使用を容易にすることが見出された。タングステン
層の反射能は、シリコン層の反射能との比較により測定
され、100%の値は、シリコン表面の反射能と等しい
反射能を示す。
【0011】タングステンCVD蒸着の間、真空室内の
圧力は約20〜約760Torr、好ましくは約60〜約1
00Torr、そして最も好ましくは約75〜約85Torr、
典型的には80Torrに維持される。圧力が、圧力がまれ
にしか1Torrを超えない従来のCVDタングステン付着
プロセスに比べてかなり高いことに注目すべきである。
下記に論じるように、より高い圧力により、著しく速い
付着速度が得られ、これは従来技術のタングステン付着
速度に比べて一桁大きいものでありうる。本発明の方法
におけるより高い圧力により、付着したタングステン層
の表面の反射能が増加するという利点もある。本発明に
より、そのような高い圧力を用いると、混合物中のガス
の全流量がさらに高くなるため、WF6 及びH2の流量並び
に希釈ガスの流量をさらに調節することが可能になるこ
とにより、CVD付着されたタングステン層に生じる応
力を調整できる点でさらに利益がある。本発明の発明者
らは、プロセス操作のいかなる理論にも拘束されること
を望むものではないが、より高い流量により層の成長メ
カニズムが変化し、タングステン層の機械的応力が変化
しうると考えられうる。
【0012】室内のサセプタ、即ちウェーハ支持板の温
度は、付着の間、約350℃〜約525℃、好ましくは
約450℃〜約475℃の範囲に維持される。付着時間
は、タングステン層40に望まれる厚さにより変化しう
る。本発明の方法のタングステン付着速度は、従来技術
の付着速度より1桁程速いが、1分間当たり約2000
〜約7000オングストロームの範囲で変化しうる。例
えば、約0.8μm 〜約1.5μm 、典型的には、本発明の
方法により、約1μm のタングステン層を付着するめに
は、付着時間は約2〜約7分間の範囲で変化しうる。
【0013】付着は、任意の市販品として得られるCV
D蒸着装置により上記の温度及び圧力範囲内で操作しう
る。そのような市販の装置の例には、カリフォルニア
州、サンタクララのApplied Materials, Inc. により販
売されている Precision5000マルチチャンバー付着及び
エッチングシステムが挙げられる。本発明の技術におい
て使用しうるこのタイプのマルチチャンバー装置は、To
shima の米国特許第4,785,962 号に記載され、特許権の
請求がなされている。該出願は本願の譲受人に譲渡され
ており、本願の参考文献とされる。そのような装置にお
いて、ガス分配口、即ち“シャワーヘッド”のウェーハ
からの距離は調整されることができ、ウェーハはガス口
から約200〜600mil、好ましくは約300〜50
0mil の範囲内で、典型的には約400mil の範囲の距
離に隔置される。しかしながら、該空間は、少なくとも
300〜500milの範囲は、本発明の方法の操作にお
いて臨界的であるとは考えられていない。
【0014】本発明の方法の別の実施態様においては、
タングステン層付着の前に、中間層上に核形成層を形成
する。本発明の核形成層の目的は、その上にタングステ
ン層の均一な付着を促進する成長部位の層を提供するこ
とにある。そのような核形成層の必要性は中間層を形成
するのに使用される材料に依存して変化する。スパッタ
リングしたタングステン又はスパッタリングしたチタン
タングステンが中間層を構成する場合、核形成層の形成
の必要が減少し、所望によっては完全に省略しうる。し
かしながら、中間層が窒化チタンの層を含む場合には、
直接窒化チタン層にCVD付着させた(本発明の核形成
層なしに)タングステンは、層全体にわたる厚さ、即ち
比抵抗が10〜15%程度異なることが見出されている
ため、タングステン層の付着の前に、本発明の実施態様
の技術に従い、核形成層を形成することが重要である。
【0015】本発明の方法の技術により核形成層を形成
するためには、上記のように絶縁層及び中間層が形成さ
れた半導体ウェーハを、前記のようなCVD装置内に置
き、シラン(SiH4) を含むガス混合物を室内に流す。ガ
スの流入混合物は、約5〜約50sccmのWF6 、約5〜約
50sccmのSiH4、約500〜約3000のAr、及び約2
0〜約300sccmのN2を含む。タングステンの付着方法
において既に記載したように、真空室内の圧力は約20〜
約760Torr、好ましくは約60〜約80Torr、そして
最も好ましくは約75〜約85Torr、典型的には約80
Torrに維持され、サセプタ温度は約350℃〜約525
℃、好ましくは約450℃〜約475℃の範囲に維持さ
れる。核形成層の充分な厚さの成長、即ち約200〜約
1000オングストロームは、上記の条件下、約5〜約
20秒間で生じる。より厚い層は処理時間の延長により
付着されることができ、それは予定された目的のため、
即ちタングステン層のより均一な付着を促進するために
は不必要である。
【0016】下記の実施例は、本発明の方法をさらに説
明するためのものである。 実施例1 予めシリコン酸化膜の上に形成された窒化チタンの層を
有する直径150mm(6″)のシリコンウェーハを、圧
力が80Torrに、サセプタ温度が450℃に維持された
CVD真空室内に置いた。1000sccmのAr、20sccm
のSiH4、20sccmのWF6 、及び50sccmのN2を含むガス
混合物を、ウェーハから400mil 離れた開口からチャ
ンバ内に約10秒間流して、ウェーハ上に約500オン
グストロームの核形成層を形成した。
【0017】実施例2 実施例1により核形成層を形成したウェーハ、及び同じ
寸法のシリコンウェーハに、各々スパッタリングしたタ
ングステン、スパッタリングしたチタンタングステン
を、酸化シリコン膜上に、核形成膜を用いずに形成した
ものを、個々に同一の圧力及び温度下のCVD真空室に
入れ、実施例1に記載した条件で隔置したガス口で、1
500sccmのAr、1000sccmのH2、30sccmのWF6
び50sccmのN2を約3分間室内に流した。各々のウェー
ハを除去し、得られたタングステン層の性質を調べた。
各ウェーハは、約9000オングストロームの厚さで付
着したタングステンの均一な層を有し(約3000オン
グストローム/分の付着率を示す)、層の比抵抗として
測定される膜厚の変化が2%未満であることが見出され
た。各々のタングステン層の表面の反射能は、シリコン
表面の反射能に比較して、各々のタングステン層につい
て100%であることが見出された。各タングステン層
の比抵抗は約8.5μΩセンチメートルであり、応力は約
6×109 ダイン/cm2 であった。
【0018】実施例3 本発明の方法をさらに説明するために、スパッタリング
されたチタンタングステン層を有する、実施例2で使用
したウェーハと同様の6″ウェーハを、CVD室内に流
されるガス混合物に窒素が含まれないこと以外は同一の
条件の同一のCVD真空室内に置いた。その後、ウェー
ハを取り出し、試験した。タングステン表面の反射能を
測定したところ、シリコンの反射能の60%であること
がわかった。比抵抗は9μΩcmであり、応力は9×10
9 ダイン/cm2 であった。
【0019】実施例4 実施例2で使用したウェーハの一つと同一の別のウェー
ハを、CVD真空室内に置き、温度を475℃に上げ、
Ar及びH2のガス流量を各々2500sccm及び1500sc
cmに上げること以外は実施例2及び3の条件と同一の条
件下でプロセッシングした。プロセスは、約3分間行わ
れ、約9000オングストロームのタングステンが付着
された。タングステン表面の反射能が測定され、少なく
とも100%であることがわかった。従って、付着率は
実施例2と同一、即ち3000オングストローム/分で
あった。得られたタングステン層の応力は、1〜2×10
9ダイン/cm2 であることが見出された。
【0020】実施例5 本発明の方法において使用される高圧の効果、低圧方法
と比較して説明するために、直径6″のウェーハを、圧
力を80Torrからわずかに10Torrへ低下させること以
外は、実施例2と同じ方法によりプロセッシングした。
得られたタングステン層の反射能はシリコンの20%に
過ぎなかった。
【0021】
【発明の効果】従って、本発明は、半導体ウェーハ上へ
のタングステン層形成のための改良された方法を提供す
る。該方法においては、付着プロセスを従来技術に比べ
て著しく高い圧力で実施することにより、付着率及び反
射能が改良され、付着に使用されたガス中に窒素を存在
させることにより反射能が改良され、核形成層を形成す
ることにより特に窒化チタンの層上に使用した場合にタ
ングステン層の均一性が強化される。
【図面の簡単な説明】
【図1】本発明の方法を説明するフローシートを示す。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 シシー リューン アメリカ合衆国 カリフォルニア州 94539 フリーモント パゴサ ウェイ 348 (72)発明者 ディヴィッド ニン クー ワン アメリカ合衆国 カリフォルニア州 95070 サラトガ ソービー ロード 15230 (72)発明者 ディヴィッド チェン アメリカ合衆国 カリフォルニア州 95128 サン ホセ シャーマン オーク ス ドライヴ 974

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 真空室内で半導体ウェーハ上にタングス
    テン層を付着させる方法であって、半導体ウェーハを、
    真空室内を流れる(a) 20〜200sccmのWF6 、(b)
    100〜5000sccmのAr、(c) 10〜300sccmの
    2 及び(d) 50〜3000sccmのH2を含むガス混合
    物と接触させることにより、半導体ウェーハ上の酸化シ
    リコン層の上に形成されている中間層上へタングステン
    を付着させ、該タングステン付着工程の間、真空室内の
    圧力を20〜760Torrに維持し、及びサセプタ温度を
    350〜525℃に維持することを含む方法。
  2. 【請求項2】 タングステンを付着する工程の前に、半
    導体ウェーハ上に予め形成された中間層の上に核形成層
    を形成する更なる工程を含み、付着されるタングステン
    層の均一性を改良する請求項1記載の方法。
  3. 【請求項3】 タングステンを60〜100Torrの圧
    力で付着して、タングステン付着速度、及びタングステ
    ン層表面の反射能を改良する、請求項1記載の方法。
  4. 【請求項4】 核形成層を形成する工程が、真空室内で
    該ウェーハをWF6、N2 ,Ar及びSiH4 ガスの混
    合物と接触させることを含み、タングステンの付着層の
    均一性を改良する請求項2記載の方法。
  5. 【請求項5】 核形成層を形成するために真空室内を流
    れる該ガスの流量が(a) 5〜50sccmのWF6 、(b) 5
    00〜3000sccmのAr、(c) 20〜300sccmのN
    2 及び(d) 5〜50sccmのSiH4を含む請求項4記載
    の方法。
  6. 【請求項6】 真空室内で半導体ウェーハ上にタングス
    テン層を付着させる方法であって、(a) 半導体ウェーハ
    上にタングステンを付着させる工程の前に、該ウェーハ
    を、真空室内を流れて核形成層を形成する(i) 約5〜約
    50sccmのWF6 、(ii)約500〜約3000sccmのA
    r、(iii) 約20〜約300sccmのN2 及び(iv)約5〜
    約50sccmのSiH4を含むガス混合物と接触させるこ
    とによって、半導体ウェーハ上に核形成層を形成するこ
    と、及び(b) 上記ウェーハを、真空室内を流れる(i) 約
    20〜約200sccmのWF6 、(ii)約100〜約500
    0sccmのAr、(iii) 約10〜約300sccmのN2 及び
    (iv)約300〜約3000sccmのH2を含むガス混合物
    と接触させることによって、半導体ウェーハ上の該核形
    成層の上へタングステンを付着させ、該タングステン付
    着工程の間、真空室内の圧力を約75Torr〜約85Torr
    に維持し、及びサセプタ温度を約450℃〜約475℃
    に維持することを含む方法。
JP10077363A 1989-08-25 1998-03-25 半導体ウェーハ上へのタングステン層のcvd蒸着方法 Expired - Fee Related JP3094004B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/398,653 US5028565A (en) 1989-08-25 1989-08-25 Process for CVD deposition of tungsten layer on semiconductor wafer
US398653 1989-08-25

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2211455A Division JPH0765174B2 (ja) 1989-08-25 1990-08-09 半導体ウェーハ上へのタングステン層のcvd蒸着方法

Publications (2)

Publication Number Publication Date
JPH10275784A true JPH10275784A (ja) 1998-10-13
JP3094004B2 JP3094004B2 (ja) 2000-10-03

Family

ID=23576244

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2211455A Expired - Lifetime JPH0765174B2 (ja) 1989-08-25 1990-08-09 半導体ウェーハ上へのタングステン層のcvd蒸着方法
JP10077363A Expired - Fee Related JP3094004B2 (ja) 1989-08-25 1998-03-25 半導体ウェーハ上へのタングステン層のcvd蒸着方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2211455A Expired - Lifetime JPH0765174B2 (ja) 1989-08-25 1990-08-09 半導体ウェーハ上へのタングステン層のcvd蒸着方法

Country Status (5)

Country Link
US (1) US5028565A (ja)
EP (3) EP0689231A3 (ja)
JP (2) JPH0765174B2 (ja)
KR (1) KR0174543B1 (ja)
DE (1) DE69030541T2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002151435A (ja) * 2000-10-31 2002-05-24 Applied Materials Inc 導電部の形成方法

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5231055A (en) * 1989-01-13 1993-07-27 Texas Instruments Incorporated Method of forming composite interconnect system
US5240505A (en) * 1989-08-03 1993-08-31 Mitsubishi Denki Kabushiki Kaisha Method of an apparatus for forming thin film for semiconductor device
US5250467A (en) * 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
WO1993011558A1 (en) * 1991-11-26 1993-06-10 Materials Research Corporation Method of modifying contact resistance in semiconductor devices and articles produced thereby
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
GB9219281D0 (en) * 1992-09-11 1992-10-28 Inmos Ltd Manufacture of semiconductor devices
KR950010854B1 (ko) * 1992-10-30 1995-09-25 현대전자산업주식회사 텅스텐 플러그 형성방법
US5272112A (en) * 1992-11-09 1993-12-21 Genus, Inc. Low-temperature low-stress blanket tungsten film
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6077781A (en) 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JP2800788B2 (ja) * 1996-06-27 1998-09-21 日本電気株式会社 半導体装置の製造方法
JP2937137B2 (ja) * 1996-09-27 1999-08-23 日本電気株式会社 半導体装置の製造方法
TW365685B (en) * 1996-10-31 1999-08-01 Texas Instruments Inc Low-temperature processes for depositing barrier films containing tungsten and nitrogen
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US6605531B1 (en) 1997-11-26 2003-08-12 Applied Materials, Inc. Hole-filling technique using CVD aluminum and PVD aluminum integration
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6211082B1 (en) 1998-02-10 2001-04-03 Samsung Electronics Co., Ltd. Chemical vapor deposition of tungsten using nitrogen-containing gas
US6451677B1 (en) * 1998-02-23 2002-09-17 Texas Instruments Incorporated Plasma-enhanced chemical vapor deposition of a nucleation layer in a tungsten metallization process
US6066366A (en) * 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
JP2000265272A (ja) 1999-01-13 2000-09-26 Tokyo Electron Ltd タングステン層の形成方法及びタングステン層の積層構造
US6245654B1 (en) * 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
JP2001060564A (ja) * 1999-08-23 2001-03-06 Nec Corp 半導体装置の製造方法
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
EP1219725B1 (en) 2000-12-28 2005-08-17 AMI Semiconductor Belgium BVBA Method for tungsten chemical vapor deposition on a semiconductor substrate
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6464778B2 (en) 2001-01-17 2002-10-15 Promos Technologies Inc. Tungsten deposition process
DE10102742C1 (de) * 2001-01-22 2002-09-12 Promos Technologies Inc Wolframabscheideprozess
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) * 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6646752B2 (en) * 2002-02-22 2003-11-11 Taiwan Semiconductor Manufacturing Co. Ltd Method and apparatus for measuring thickness of a thin oxide layer
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100555514B1 (ko) * 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
US8049178B2 (en) * 2007-08-30 2011-11-01 Washington State University Research Foundation Semiconductive materials and associated uses thereof
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140273451A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8900999B1 (en) 2013-08-16 2014-12-02 Applied Materials, Inc. Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
JP6222880B2 (ja) * 2014-09-24 2017-11-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9758367B2 (en) 2015-12-09 2017-09-12 Analog Devices, Inc. Metallizing MEMS devices
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
DE102017114085B4 (de) 2016-06-28 2023-05-04 Analog Devices, Inc. Selektive leitfähige Beschichtung für MEMS-Sensoren
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020123987A1 (en) 2018-12-14 2020-06-18 Lam Research Corporation Atomic layer deposition on 3d nand structures
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20220011092A (ko) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
US11939668B2 (en) * 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1932932A1 (de) * 1969-06-28 1971-01-07 Bbc Brown Boveri & Cie Halbleiterelement und Verfahren zu dessen Herstellung
JPS60145376A (ja) * 1983-12-30 1985-07-31 Fujitsu Ltd タングステンシリサイド膜の成長方法
EP0174743A3 (en) * 1984-09-05 1988-06-08 Morton Thiokol, Inc. Process for transition metal nitrides thin film deposition
JPS61198628A (ja) * 1985-02-27 1986-09-03 Fujitsu Ltd タングステン膜の選択成長方法
DE3525203C1 (de) * 1985-07-15 1986-05-15 Kernforschungszentrum Karlsruhe Gmbh, 7500 Karlsruhe Verfahren zur Vermeidung der Bildung von Hydrolyseprodukten aus Uranhexafluorid und/oder gasfoermigen Fluorierungsmitteln in technischen Anlagen
JPS62253770A (ja) * 1986-04-28 1987-11-05 Hitachi Ltd 金属薄膜形成方法
US4756927A (en) * 1986-05-29 1988-07-12 Massachusetts Institute Of Technology Method and apparatus for refractory metal deposition
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US4800105A (en) * 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
JPS6376875A (ja) * 1986-09-19 1988-04-07 Fujitsu Ltd 気相成長法
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
JP2542617B2 (ja) * 1987-04-30 1996-10-09 株式会社東芝 半導体装置の製造方法
JP2585623B2 (ja) * 1987-09-04 1997-02-26 株式会社日立製作所 半導体装置の製造方法
NL8800221A (nl) * 1988-01-29 1989-08-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002151435A (ja) * 2000-10-31 2002-05-24 Applied Materials Inc 導電部の形成方法

Also Published As

Publication number Publication date
EP0689232A3 (en) 1997-06-04
EP0414267A3 (en) 1991-12-27
KR0174543B1 (ko) 1999-04-01
EP0414267A2 (en) 1991-02-27
JPH0390572A (ja) 1991-04-16
US5028565A (en) 1991-07-02
EP0689231A3 (en) 1997-06-04
DE69030541D1 (de) 1997-05-28
EP0689231A2 (en) 1995-12-27
EP0414267B1 (en) 1997-04-23
JP3094004B2 (ja) 2000-10-03
EP0689232A2 (en) 1995-12-27
DE69030541T2 (de) 1997-09-11
JPH0765174B2 (ja) 1995-07-12
KR910005397A (ko) 1991-03-30

Similar Documents

Publication Publication Date Title
JP3094004B2 (ja) 半導体ウェーハ上へのタングステン層のcvd蒸着方法
JP3386385B2 (ja) シリコン集積回路の製造方法
US5478780A (en) Method and apparatus for producing conductive layers or structures for VLSI circuits
CA2067565C (en) Deposition of tungsten
US5670421A (en) Process for forming multilayer wiring
EP0599991B1 (en) Process for forming low resistivity titanium nitride films
US5747384A (en) Process of forming a refractory metal thin film
US5273775A (en) Process for selectively depositing copper aluminum alloy onto a substrate
US4732801A (en) Graded oxide/nitride via structure and method of fabrication therefor
US7186638B2 (en) Passivation processes for use with metallization techniques
JPH05226269A (ja) 半導体ウエーハにチタンケイ化物を蒸着させるための化学蒸着技術
US6204175B1 (en) Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer
JPH0573254B2 (ja)
US6025269A (en) Method for depositioning a substantially void-free aluminum film over a refractory metal nitride layer
US4777061A (en) Blanket tungsten deposition for dielectric
JPH10214896A (ja) 半導体装置の製造方法及び製造装置
JP2002212725A (ja) 半導体基板上へのタングステンの化学気相デポジション方法
JPH06283453A (ja) 半導体装置製造方法
JPH0922907A (ja) 埋め込み導電層の形成方法
JP3200085B2 (ja) 高密度集積回路用導電層又は構造物の製法及び装置
KR100289515B1 (ko) 베리어 메탈층 및 그 형성방법
US6787466B2 (en) High throughout process for the formation of a refractory metal nucleation layer
JPH10209073A (ja) バリア膜の形成方法
KR100477813B1 (ko) 반도체장치의텅스텐금속배선형성방법
JPH07169834A (ja) 層間接続孔の埋め込み方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000710

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070728

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080728

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090728

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees