JP2937137B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP2937137B2
JP2937137B2 JP8256432A JP25643296A JP2937137B2 JP 2937137 B2 JP2937137 B2 JP 2937137B2 JP 8256432 A JP8256432 A JP 8256432A JP 25643296 A JP25643296 A JP 25643296A JP 2937137 B2 JP2937137 B2 JP 2937137B2
Authority
JP
Japan
Prior art keywords
layer
type
silicon
group
tungsten
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP8256432A
Other languages
English (en)
Other versions
JPH10107158A (ja
Inventor
浩嗣 津田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
Nippon Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Electric Co Ltd filed Critical Nippon Electric Co Ltd
Priority to JP8256432A priority Critical patent/JP2937137B2/ja
Priority to US08/938,709 priority patent/US5970334A/en
Publication of JPH10107158A publication Critical patent/JPH10107158A/ja
Application granted granted Critical
Publication of JP2937137B2 publication Critical patent/JP2937137B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体装置の製造方
法に関し、特に異なる導電型領域を有する半導体基板上
へ、膜厚の等しい金属層を形成する半導体装置の製造方
法に関する。
【0002】
【従来の技術】集積回路装置中の半導体素子の微細化に
伴い、寄生抵抗の低減が必須課題となっている。例え
ば、MOSFET(Metal−Oxide−Semi
conductor Field Effect Tr
ansistor)型半導体装置のソース・ドレイン領
域やゲート領域の抵抗低減のために、化学的気相成長法
(CVD法)によりシリコン基板表面に選択的に、つま
り自己整合的にタングステン等の金属膜を堆積し電極を
形成することがひとつの有効な方法であり、素子の微細
化に適する方法である。
【0003】シリコン表面に選択的に金属膜を堆積させ
る方法を図2の断面図を用いて説明する。まず、p型シ
リコン基板1の主面に素子分離領域としてシリコン酸化
膜2を形成する。次に、素子領域として、p型ウェル3
とn型ウェル4を形成する。さらに、p型ウェル3上に
ゲート酸化膜を介して絶縁膜からなるサイドウォールを
備えたn型ポリシリコンのゲート電極7Aを形成する。
次でゲート領域以外のシリコン基板1の露出部に自己整
合的にソース・ドレインとなるn+ 型拡散層8を周知の
方法により形成する。一方、n型ウェル4上には同様に
して、ゲート酸化膜を介し絶縁膜からなるサイドウォー
ルを備えたp型ポリシリコンのゲート電極7Bを形成
し、ゲート領域以外のシリコン露出部には自己整合的に
ソース・ドレインとなるp+ 型拡散層9を形成する。そ
の状態の基板表面に、CVD法により選択的に、つまり
自己整合的にタングステン膜11,11Aを堆積するも
のである。
【0004】しかしながら、WF6 を主原料として用い
る選択タングステン成長法では、WF6 を還元すること
により金属タングステンを析出する方法であるため、基
板から電子が供給されにくいp型導電体層上で核発生
が、n型導電体層上での核発生よりも遅れてしまう。そ
のため、p型導電体層上で十分低い抵抗を持つタングス
テン膜厚を得る為に成長時間を流くすると、n型導電体
層上のタングステン膜の膜厚が大きくなり過ぎ、それと
同時にシリコンへの侵食が進んでタングステン膜がシリ
コン基板に接近し、図2の矢印Aで示すようにp/n+
接合のリーク原因になったりする。あるいは選択性の破
れにより酸化膜などの絶縁層上にも核が発生して、望ま
れないリークパスができたりするという問題点がある。
さらに、図2の矢印Bで示す場所等、ソース・ドレイン
領域から延びたタングステン膜11Aとゲート領域から
延びたタングステン膜11Aがつながってしまうという
危険性もある。
【0005】異なる導電体層上での成長開始の時間の違
いをなくすための方法として、第1導電型のシリコン層
に、その導電体とは逆の導電型(第2導電型)となる不
純物を気相拡散法、固相拡散法、もしくはイオン打ち込
み法によりドープすることで、第1導電型シリコン層上
に第2導電型のシリコン層を作成し、露出したシリコン
層の部分を全て第2導電型シリコン層としてしまうとい
う方法(特開平7−193026号公報)が提案されて
いる。この方法によれば、選択成長すべきシリコン層は
全て第2導電型に統一されているので成長開始時間の違
いが出ることはなく、膜厚も均一にできる。
【0006】
【発明が解決しようとする課題】上述した従来技術のよ
うに、選択タングステンCVD法を用いてp型導電体層
とn型導電体層の両方が露出している半導体表面上に同
時にタングステン膜を堆積しようとする場合、p型導電
体層上の方がn型導電体層上よりも成長開始時間が遅い
ために、タングステン膜の膜厚が同一にならないため
に、リークが発生しやすくなるなどの問題点があった。
【0007】一方、第1導電型のシリコン層に、第2導
電型となる不純物をドープする方法では、膜厚差をなく
せる可能性があるが、第1導電型のシリコン層上に余分
な第2導電型のシリコン層が存在するために所望のデバ
イス構成とはならず、加熱によりタングステンとシリコ
ンを反応させることによってタングステンシリサイド層
を形成して、余分な第2の導電型層を消費して消滅させ
る必要があった。その際、タングステン単体よりもタン
グステンシリサイドの方が抵抗が大きいため、寄生抵抗
が大きくなってしまうという問題点があった。つまり、
タングステン膜形成後に、タングステ膜よりも抵抗率の
高いタングステンシリサイド層を加熱して形成しなけれ
ば素子として機能しなくなる。しかも、シリコン基板と
シリサイド層界面の不均一性のために抵抗がばらついて
しまうという問題点もあった。また、この方法では、第
2導電型の表面層をシリサイド化によって消費する必要
がある為、拡散層を浅くすることが必然となる素子の微
細化に対応できないという問題点もある。
【0008】本発明の目的は、上記技術の有する問題を
解決し、導電型の異なるシリコン基板表面上に、同一膜
厚のタングステン膜を選択CVD法によって形成するこ
とができる半導体装置の製造方法を提供することにあ
る。
【0009】
【課題を解決するための手段】本発明の半導体装置の製
造方法は、半導体基板表面に第1導電型層からなる第1
領域と第2導電型層からなる第2領域とを形成したの
ち、これら第1及び第2領域上にCVD法により選択的
に金属膜を形成する半導体装置の製造方法において、前
記第1及び第2領域上にV属元素を原子層程度の厚さに
選択的に形成したのち前記金属膜を形成することを特徴
とするものである。
【0010】
【作用】真空中でAsやPなどのV族元素を加熱する
と、容器内は加熱した温度に依存した飽和蒸気圧のAs
4 やP4 の分子雰囲気に満たされる。V族元素はシリコ
ンと結合を作りやすいので、蒸着したのちに加熱するこ
とによって、シリコンが露出した表面のみに原子層程度
以下の厚さのV族元素を被着させることができる。この
ことは、例えば、ジャパニーズ・ジャーナル・オブ・ア
プライド・フィジックス(Japanese Jour
nal of Applied Physics)19
87年、第26巻L114ページに記載されている。一
方、SiO2などの酸化膜上ではシリコンと酸素の結合
が強いため、V族元素はAs4 分子やP4 分子となっ
て、容易に脱離してしまう。従って、所望の金属を選択
CVD工程で半導体基板上に堆積する前に原子層程度の
厚さ以下のV族元素を被着することにより、p型導電層
の最表面とn型導電層の最表面の両方のシリコン基板の
上にV族元素が結合した状態が実現する。なお、この様
な状態は、V族元素の水素化物、塩化物、フッ化物、有
機化合物の中のどれかの気体、もしくは液体を気化した
ものを、水素や窒素やアルゴン等の不活性ガスをキャリ
ヤガスとして用いて半導体基板表面と反応させることで
も実現できる。
【0011】このとき、基板表面に存在する原子には、
結合相手の存在しない電子軌道が延びており、しかも、
V族元素の原子では、少なくともひとつの未結合電子軌
道で電子が2個満たされた状態となっている。この未結
合電子対は、表面で電子供与体として働き、WF6 の還
元によるWの核形成中心となる。つまり、p型導電層上
とn型導電層上のどちらにも同程度のW核の形成中心が
存在するので、選択タングステン成長を行う場合に堆積
膜厚差がほとんどなくなる。しかも、V族元素はもとも
と原子層程度以下しか存在しないため、最初のp型導電
体層とタングステン膜の間に新たにn型導電体層が形成
されることはなく、もともとのp+ 型拡散層とn+ 型拡
散層の状態は保存される。
【0012】一方、V族元素をシリコン基板表面に被着
しない場合には、バルク不純物濃度から考えて、p型導
電層の最表面とn型導電層の最表面のどちらにもシリコ
ン原子100個に対し不純物は1個以下しか存在してい
ない。表面に存在する原子の多くがシリコンである場合
には、各々のシリコン原子から延びた結合相手の存在し
ない電子軌道が相互作用し合い、原子の並び方に再構成
がおこるものの、トータルとしては電気的に中性な表面
が保たれる。その結果、もともと電子の多いn型導電層
上で核形成が早く起こり、堆積膜厚差となって現れる。
【0013】
【発明の実施の形態】次に本発明について図面を用いて
説明する。図1(A)〜(D)は本発明の第1の実施の
形態を説明する為の工程順に示した半導体チップの断面
図である。
【0014】まず図1(A)に示すように、p型シリコ
ン基板1の主面に素子分離領域としてシリコン酸化膜2
を形成する。次に、不純物を導入し素子領域としてのp
型ウェル3とn型ウェル4を形成する。さらに、p型ウ
ェル3上にはゲート酸化膜5を介して絶縁膜からなるサ
イドウォール6を備えたn型ポリシリコンのゲート電極
7Aを形成する。次でゲート領域以外のシリコン露出部
には自己整合的にソース・ドレインとなるn+ 型拡散層
8を周知の方法により形成する。一方、n型ウェル4上
にはゲート酸化膜5を介してサイドウォール6を備えた
p型ポリシリコンのゲート電極7Bを形成し、ゲート領
域以外のシリコン露出部には自己整合的にp+ 型拡散層
9を形成する。
【0015】次に図1(B)に示すように、真空槽内
で、V族元素としてAs層10を表面に1〜2原子層の
量だけ被着する。およそ300℃以下(低い方が好まし
い)のシリコン基板1をAs4 気体の分圧が2.7×1
-4Paの雰囲気中に60秒以上さらすことにより、1
〜2原子層の量だけ被着した状態が実現可能である。こ
れは、As固体を加熱して発生する分子線に直接さらし
ても良いが、気化したAs4 は蒸気圧が高いので、As
4 蒸気の雰囲気にさらすだけでも良い。
【0016】次に図1(C)に示すように、真空に保っ
たまま、As4 雰囲気のないところでシリコン基板1を
600℃まで加熱することにより、酸化膜上ではAsが
脱離する為、シリコンが露出したところにのみ、被覆率
が0.1程度のAs原子のまばらな部分を含め、選択的
にAs層10を0.1〜2原子層程度被着した状態が実
現される。
【0017】次に図1(D)に示すように、WF6 を還
元する周知の選択タングステンCVD法を用いてタング
ステン膜11を基板温度240〜270℃にて100n
mの厚さに堆積する。
【0018】この方法により、0.2〜1μm幅のゲー
ト配線に対し、p型n型に限らず2〜4Ω/□の層抵抗
の値が基板温度に応じて得られた。また、0.4〜2μ
m幅の拡散層配線に対しても、p型n型双方の拡散層上
で2〜4Ω/□の層抵抗の値が基板温度に応じて得られ
た。一方、ソース・ドレインとゲート間のリーク電流も
検知されなかったために選択性は良好であった。さら
に、懸念されることのひとつであるp+ /n接合の逆方
向リーク電流の値に関しても、8Vの逆耐圧印加状態
で、0.25mm2 以下のパターンで10-9A未満とい
う優れた特性を示した。
【0019】なお、本第1の実施の形態では、基板温度
300℃以下の状態で60秒間As4 雰囲気にさらす状
態を示したが、最終的に0.1〜2原子層程度のAsを
被着することができれば、基板温度、雰囲気の圧力、雰
囲気にさらしておく時間等に制限を設けるものではな
い。また、第一段階で300℃以下の温度においてAs
4 雰囲気にさらす例を示したが、300℃以上の温度範
囲で雰囲気にさらすことにより図1(B)に示した全面
にAsが被着された状態を省略して、As被着前の状態
から図1(C)に示したように、直接0.1〜2原子層
程度のAsが選択的に被着された状態を形成することが
できる。さらに、第二段階で600℃に加熱することに
よりAsが選択的に被着された状態を形成する例を示し
たが、Asが選択的に被着された状態を実現できればど
の温度で何度に加熱しても良い。たとえば、拡散層の厚
さが変わらない程度に短い時間であれば600℃よりも
高温で加熱しても良く、また、酸化膜上からAsが全て
除去されるのであれば、600℃よりも低温で長時間加
熱しても良い。
【0020】さらに、第1の実施の形態では、V族元素
としてAsを例に示したが、P,Sbなどの他のV族元
素でも良く、また、選択的に堆積する金属として、タン
グステンを例に示したが、モリブデンでも良い。一方、
加熱してタングステンやモリブデンをシリコンと化合さ
せ、シリサイドとして利用することもできる。
【0021】次に本発明の第2の実施の形態として、V
族元素の水素化物、塩化物、フッ化物、有機化合物の中
から選び、その気体もしくは液体を気化したものを、図
1(A)に示した構造のシリコン基板表面と反応させて
V族元素を被着させる方法を説明する。これは、第1の
実施の形態のAs蒸気にさらす方法よりも、操作性や制
御性が良くなるというメリットがある。
【0022】例えば、H2 ガスと混合して、実流量20
sccmのAsH3 を600℃のシリコン基板に3分間
照射し続けると図1(C)に示したと同様に、Asが選
択的に被着された状態が実現できる。この基板をAsH
3 雰囲気のないところへ真空中で移動し、周知の選択C
VD法で基板温度240〜270℃にてタングステンを
100nm堆積することにより、0.2〜1μm幅のゲ
ート配線に対し、p型n型に限らず2〜4Ω/□の層抵
抗の値が基板温度に応じて得られた。また、0.4〜2
μm幅の拡散層配線に対しても、p型n型双方の拡散層
上で2〜4Ω/□の層抵抗の値が基板温度に応じて得ら
れた。一方、ソース・ドレインとゲート間のリーク電流
も検知されなかったために選択性は良好であった。さら
に、懸念されることのひとつであるp+ /n接合の逆方
向リーク電流の値に関しても、8Vの逆耐圧印加状態
で、0.25mm2 以下のパターンで10-9A未満とい
う優れた特性を示した。
【0023】なお、本第2の実施の形態では、AsH3
を使用する例を示したが、最終的に図1(C)に示した
ように、シリコン露出部にのみ原子層程度のAs原子被
着層を形成した状態を実現できるのであれば、Asを含
む気体は塩化物(AsCl3,AsCl5 )、フッ化物
(AsF3 ,AsF5 )、有機化合物〔As(CH3
3 ,As(N(CH3 2 5 等〕の中のどれでもよ
く、たとえそれが液体であってもキャリアガスを用いて
気化してから使用すれば良い。また、H2 を混合ガスと
して使用する例を示したが、混合ガスはキャリアガスと
して用いてもよく、混合ガスやキャリアガスにはH2
外でもN2 やArなどの不活性ガスであればどんなもの
でも良い。
【0024】なお、第2の実施の形態では、V族元素と
してAsを例に示したが、P,Sbなどの他のV族元素
の化合物を使用しても良く、また、選択的に堆積する金
属として、タングステンを例に示したが、モリブデンで
も良い。一方、加熱してタングステンやモリブデンをシ
リコンと化合させ、シリサイドとして利用することもで
きる。
【0025】
【発明の効果】以上説明したように本発明によれば、異
なる導電型からなる領域を有する半導体基板表面上にも
同じように選択的にV族元素を原子層程度だけ被着する
ことが可能となる。原子層程度のV族原素吸着層は電子
供給源として作用させることが可能となるので、選択C
VD法を用いてp型導電体層とn型導電体層の両方の半
導体露出部へ同じ厚さでタングステン膜等の金属膜を形
成することが可能である。選択タングステンCVD法等
を用いることにより自己整合的に寄生抵抗の低い電極を
有するCMOSFET主体の半導体装置が製造できるた
め、素子の微細化、低消費電力化が可能となると共に、
素子スピードの高速化にも対応可能である。つまり、超
高集積な高性能半導体装置の製造が可能となる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態を説明する為の半導
体チップの断面図。
【図2】従来例を説明する為の半導体チップの断面図。
【符号の説明】
1 p型シリコン基板 2 シリコン酸化膜 3 p型ウェル 4 n型ウェル 5 ゲート酸化膜 6 サイドウォール 7A,7B ゲート電極 8 n+ 型拡散層 9 p+ 型拡散層 10 As層 11,11A タングステン膜
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.6,DB名) H01L 27/06 H01L 21/285 H01L 21/336

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】 半導体基板表面に第1導電型層からなる
    第1領域と第2導電型層からなる第2領域とを形成した
    のち、これら第1及び第2領域上にCVD法により選択
    的に金属膜を形成する半導体装置の製造方法において、
    前記第1及び第2領域上にV属元素を原子層程度の厚さ
    に選択的に形成したのち前記金属膜を形成することを特
    徴とする半導体装置の製造方法。
  2. 【請求項2】 金属膜はタングステン膜又はモリブデン
    膜である請求項1記載の半導体装置の製造方法。
  3. 【請求項3】 V族元素を半導体基板表面に蒸着したの
    ち加熱し原子層程度の厚さにする請求項1又は請求項2
    記載の半導体装置の製造方法。
  4. 【請求項4】 V族元素の単体又は水素化物又は塩化物
    又はフッ化物又は有機化合物の気体、もしくは液体を気
    化したものを半導体基板と反応させてV族元素を被着さ
    せる請求項1又は請求項2記載の半導体装置の製造方
    法。
JP8256432A 1996-09-27 1996-09-27 半導体装置の製造方法 Expired - Fee Related JP2937137B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP8256432A JP2937137B2 (ja) 1996-09-27 1996-09-27 半導体装置の製造方法
US08/938,709 US5970334A (en) 1996-09-27 1997-09-26 Method of manufacturing contacts to diverse doped regions using intermediate layer of arsenic or phosphorus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8256432A JP2937137B2 (ja) 1996-09-27 1996-09-27 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH10107158A JPH10107158A (ja) 1998-04-24
JP2937137B2 true JP2937137B2 (ja) 1999-08-23

Family

ID=17292586

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8256432A Expired - Fee Related JP2937137B2 (ja) 1996-09-27 1996-09-27 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US5970334A (ja)
JP (1) JP2937137B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3389075B2 (ja) * 1997-10-01 2003-03-24 株式会社東芝 半導体装置の製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4422885A (en) * 1981-12-18 1983-12-27 Ncr Corporation Polysilicon-doped-first CMOS process
JPS6386514A (ja) * 1986-09-30 1988-04-16 Toshiba Corp 半導体装置の製造方法
GB2214708A (en) * 1988-01-20 1989-09-06 Philips Nv A method of manufacturing a semiconductor device
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
KR100199258B1 (ko) * 1990-02-09 1999-06-15 가나이 쓰도무 반도체집적회로장치
JP3395263B2 (ja) * 1992-07-31 2003-04-07 セイコーエプソン株式会社 半導体装置およびその製造方法
JPH07193026A (ja) * 1993-12-27 1995-07-28 Hitachi Ltd 半導体装置およびその製造方法
JP3181783B2 (ja) * 1993-12-29 2001-07-03 株式会社リコー デュアルゲートcmos型半導体装置及びその製造方法
JPH0878337A (ja) * 1994-09-02 1996-03-22 Fujitsu Ltd 半導体装置の製造方法

Also Published As

Publication number Publication date
JPH10107158A (ja) 1998-04-24
US5970334A (en) 1999-10-19

Similar Documents

Publication Publication Date Title
JP2895166B2 (ja) 半導体装置の製造方法
JP3049487B2 (ja) 金属配線構造及びその形成方法
JP3251889B2 (ja) 中間ギャップ作業関数タングステン・ゲートの製造方法
US5965918A (en) Semiconductor device including field effect transistor
JPH0547979B2 (ja)
JP4748408B2 (ja) 半導体装置のメタルシリサイド層形成方法
US6107096A (en) Method of fabricating a salicide-structured MOS semiconductor device having a cobalt disilicied film
US10699949B2 (en) Mechanically stable cobalt contacts
US5358899A (en) Oxygen assisted ohmic contact formation to n-type gallium arsenide
JPS6050920A (ja) 半導体装置の製造方法
TW200525751A (en) Silicide/semiconductor structure and method of fabrication
JPH11224863A (ja) 半導体装置及びその製造方法
JPH10199881A (ja) 半導体装置の製造方法
KR20040059431A (ko) 반도체 소자의 티타늄 실리사이드 콘택 형성 방법
US6635938B1 (en) Semiconductor device and manufacturing method thereof
JP2937137B2 (ja) 半導体装置の製造方法
JPH0845878A (ja) 半導体装置の製造方法
JP2008508713A (ja) 高信頼性コンタクト
JP3023189B2 (ja) 半導体装置の製造方法
KR940004450B1 (ko) 반도체장치의 제조방법
JPH03205830A (ja) 半導体装置及び多結晶ゲルマニウムの製造方法
JPH04221821A (ja) 半導体装置の製造方法
JPH0697435A (ja) Mos型半導体装置
JP2002083781A (ja) 半導体デバイス
JPH01241168A (ja) バイポーラトランジスタおよびその製造方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990511

LAPS Cancellation because of no payment of annual fees