KR0174543B1 - 반도체 웨이퍼 상에 텅스텐 층을 증착시키는 방법 - Google Patents

반도체 웨이퍼 상에 텅스텐 층을 증착시키는 방법 Download PDF

Info

Publication number
KR0174543B1
KR0174543B1 KR1019900013073A KR900013073A KR0174543B1 KR 0174543 B1 KR0174543 B1 KR 0174543B1 KR 1019900013073 A KR1019900013073 A KR 1019900013073A KR 900013073 A KR900013073 A KR 900013073A KR 0174543 B1 KR0174543 B1 KR 0174543B1
Authority
KR
South Korea
Prior art keywords
layer
tungsten
depositing
deposition
deposition chamber
Prior art date
Application number
KR1019900013073A
Other languages
English (en)
Other versions
KR910005397A (ko
Inventor
창 메이
렝 시씨
닌-코우 왕 데이비드
쳉 데이비드
Original Assignee
제임스 조셉 드롱
어플라이드 머티어리얼스 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=23576244&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR0174543(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 제임스 조셉 드롱, 어플라이드 머티어리얼스 인코포레이티드 filed Critical 제임스 조셉 드롱
Publication of KR910005397A publication Critical patent/KR910005397A/ko
Application granted granted Critical
Publication of KR0174543B1 publication Critical patent/KR0174543B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/025Deposition multi-step

Abstract

내용 없음.

Description

반도체 웨이퍼상에 텅스텐층을 증착시키는 방법
도면은 본 발명의 방법을 설명하는 흐름도이다.
본 발명은 반도체 웨이퍼를 가공처리하는방법에 관한 것이며, 특히 반도체 웨이퍼상에 텅스텐층을 증착시키는 방법에 관한 것이다.
실리콘 웨이퍼 등과 같은 반도체 웨이퍼상에 혹은 그 내부에 집적회로 구조물을 형성하는 공정에 있어서, 처리된 웨이퍼상에 여러 접점들에 대한 도전성 연결부 또는 배선부를 형성하기 위해서 통상적으로 하나 이상의 금속층이 이용된다. 종래에는, 이러한 금속제 배선부 또는 패턴이 형성된 금속층으로서 알루미늄 또는 금을 사용했다. 경제적인 측면에서 알루미늄이 금보다 더 바람직하다. 그러나, 기하학적으로 집적회로 구조물이 점차 소형화됨에 따라서, 알루미늄 대신에 텅스텐 금속을 사용하게 되었는데, 이는 양호한 스텝 커버리지와 화학기상 증착법(CVD)으로 텅스텐을 작은 접점 홀 또는 바이어(bia)에 충전시킬 수 있다는 측면에서 보다 바람직하다.
하지만, 반도체상에 전체적으로 텅스텐층을 증착시키는 단계에서 문제가 없는 것은 아니다. 먼저, 약 350℃에서 텅스텐층을 증착하는 종래의 방식은 증착속도가 약 300Å/분 정도로서, 다소 느리다. 보다 빠른 증착속도, 예를들어 약 1000Å/분이 달성될 수 있지만, 이를 위해서는 온도를 약 500 내지 550℃로 상승시켜야만 하며, 이는 집적회로 구조물의 기저부에 손상을 줄 수도 있다.
더욱이, 이러한 과정으로 얻어지는 텅스텐층은 반드시 매끄럽지는 않으며, 실리콘 표면의 반사율의 약20% 이하 정도의 반사율을 제공하게 되므로, 다음의 처리단계인 포토리소그라피 기술에 의한 텅스텐층의 패턴 형성을 보다 어렵게 만든다. 또한, 저항율로 측정했을 때 증착의 균일성은 웨이퍼 전반에 걸쳐 1% 이상의 두께 변화를 초래한다.
따라서, 증착속도가 개선되고, 증착된 텅스텐층의 반사율이 향상되고, 그리고 보다 더 균일한 텅스텐층이 제공될 수 있도록, 반도체 웨이퍼상에 텅스텐층을 증착시키는 개선된 방법을 제공하는 것이 바람직하다.
그러므로, 본 발명의 제1목적은 진공챔버내에서 반도체 웨이퍼상에 텅스텐층을 증착시키는 개선된 방법을 제공하는 것이며, 이 개선된 방법에서는 증착된 텅스텐층의 반사율을 향상시키기 위하여 질소 가스의 존재하에 반도체 웨이퍼상에 텅스텐층을 증착시키는 단계를 포함하고 있다.
본 발명의 제2목적은 진공챔버내에서 반도체 웨이퍼상에 텅스텐층을 증착시키는 개선된 다른 방법을 제공하는 것이며, 이 개선된 다른 방법은 텅스텐층의 증착속도 및 텅스텐층의 표면의 반사율을 향상시키기 위하여 약 20 내지 760 토르의 압력하에서 반도체 웨이퍼상에 텅스텐층을 증착시키는 단계를 포함하고 있다.
본 발명의 제3목적은 진공챔버내에서 반도체 웨이퍼상에 텅스텐층을 증착시키는 개선된 또 다른 방법을 제공하는 것이며, 이 개선된 또 다른 방법은 증착된 텅스텐층의 균일성을 향상시키기 위하여 반도체 웨이퍼상에 텅스텐층을 증착시키는 단계에 앞서 반도체층상에 핵생성(NUCLEATION)층을 형성하는 부가적인 단계를 포함하고 있다.
본 발명의 제4목적은 진공챔버내에서 반도체 웨이퍼상에 텅스텐층을 증착시키는 개선된 또 다른 방법을 제공하는 것이며, 이 개선된 또 다른 방법은 증착된 텅스텐층의 균일성을 향상시키기 위하여 반도체 웨이퍼상에 텅스텐층을 증착시키는 단계에 앞서 반도체층상에 핵생성층을 형성하는 부가적인 단계를 포함하고 있으며, 그리고 증착된 텅스텐층의 반사율을 향상시키기 위하여 질소 가스의 존재하에 반도체 웨이퍼상의 핵생성층 위로 텅스텐층을 증착시키는 단계를 더 포함하고 있다.
본 발명의 제5목적은 진공챔버내에서 반도체 웨이퍼상에 텅스텐층을 증착시키는 개선된 또 다른 방법을 제공하는 것이며, 이 개선된 또 다른 방법은 증착된 텅스텐층의 균일성을 향상시키기 위하여 반도체 웨이퍼상에 텅스텐층을 증착시키는 단계에 앞서 반도체층에 핵생성층을 형성시키는 단계를 포함하고 있으며, 그리고 텅스텐의 증착속도와 텅스텐층 표면의 반사율을 향상시키기 위해서 약 20 내지 760 토르의 압력하에서 반도체 웨이퍼 상의 핵생성층 위로 텅스텐층을 증착시키는 단계를 더 포함하고 있다.
본 발명의 제6목적은 진공챔버내에서 반도체 웨이퍼상에 텅스텐층을 증착시키는 개선된 또 다른 방법을 제공하는 것이며, 이 개선된 또 다른 방법은 증착된 텅스텐층의 표면의 반사율과 텅스텐층의 증착속도를 향상시키기 위해서 질소 가스의 존재하에 약 20 내지 760 토르의 압력으로 반도체 웨이퍼상에 텅스텐층을 증착시키는 단계를 포함하고 있다.
마지막으로, 본 발명의 제7목적은 진공챔버내에서 반도체 웨이퍼상에 텅스텐층을 증착시키는 개선된 또 다른 방법을 제공하는 것이며, 이 개선된 또 다른 방법은 증착된 텅스텐층의 균일성을 향상시키기 위하여 반도체 웨이퍼상에 텅스텐층을 증착시키는 단계에 앞서 반도체층에 핵생성층을 증착시키는 부가적인 단계를 포함하고 있으며, 그리고 증착된 텅스텐층의 표면의 반사율과 텅스텐층의 증착속도를 향상시키기 위해서 질소 가스의 존해하에 약 20 내지 760 토르의 압력으로 반도체 웨이퍼상의 핵생성층 위로 텅스텐층을 증착시키는 단계를 더 포함하고 있다.
이하, 첨부된 도면에 근거하여 본 발명의 바람직한 여러 실시예들을 보다 상세히 설명하는데, 본 발명은 앞서 설명한 바와같이 텅스텐층의 표면의 반사율과 텅스텐층의 증착속도가 향상되도록 반도체 웨이퍼상에 텅스텐층을 증착시키는 방법을 제공하는 것이다.
일 실시예에서, 텅스텐층의 표면의 반사율은 증착과정중에 질소 가스의 존재에 의해서 향상되었다. 또한, 증착과정중에 압력을 증가시킴으로써 증착속도가 향상되었고, 이는 증착된 텅스텐층의 표면의 반사율에 바람직한 효과를 제공한다.
다른 실시예에서, 본 발명의 방법은 텅스텐층을 형성하기 전에 웨이퍼상에 핵생성층을 형성함으로써 피복층의 균일성을 향상시키는 단계를 포함하고 있다.
본 발명에 따른 방법에 있어서, 반도체 웨이퍼는 이미 형성된, 예를들어 하나 이상의 트랜지스터와 같은 집적회로 구조물의 일부를 갖추고 있는 실리콘 기판등으로서 이용된다. 실리콘 기판에 형성된 집적회로 구조물의 기저부에 작은 홀이나 바이어를 제공하도록 사전에 패턴이 형성된 산화 실리콘과 같은 절연층이 그러한 실리콘 기판 및 그 내부에 형성된 집적회로 구조물의 윗쪽에 형성된다.
텅스텐층은 화학기상증착법(CVD)에 의해서는 직접 산화 실리콘층에 증착될 수 없으므로, 밑에 형성된 집적회로 구조물에 대한 텅스텐층의 증착을 용이하게 하기 위해서 절연층 위에 중간층이 형성된다. 이 중간층은 밑에 형성된 절연층과 이 절연층 위에 적용될 텅스텐층 모두에 대해서 화학적으로 적합한 임의의 재료로 구성되는데, 텅스텐층 및 절연층에 대해서 양호한 부착성을 갖추어야 한다.
중간층을 구성하는 재료로서는 스퍼터링된 텅스텐, 스퍼터링된 티타늄 텅스텐(TiW), 또는 티타늄 질화물(TiN)로 구성될 수 있으며, 이러한 중간층은 티타늄 질화물의 스퍼터링, 질소의 존재하에 티타늄 타겟의 반응스퍼터링, 질소와의 열반응에 따른 티타늄의 스퍼터링, 또는 티타늄 질화물의 CVD 증착 등에 의해서 제공될 수가 있다.
본 발명의 일 실시예에 따르면, 텅스텐층은 진공챔버내에서 WF6, Ar, N2및 H2가스의 조합을 이용한 CVD 증착에 의해서 중간층 위로 직접 증착된다. 이들 가스 혼합물은, 약 20 내지 200㎤/분(sccm)(바람직하게는 약 20 내지 100sccm)의 WF6, 약 100 내지 5000sccm (바람직하게는 약 1000 내지 3000sccm)의 아르곤과 같은 불활성 운반가스, 약 10 내지 300sccm의 질소, 및 약 300 내지 2000sccm (바람직하게는 약 500 내지 2000sccm)의 수소의 속도로 진공증착챔버 안으로 흐른다.
본 발명에 따른 텅스텐의 증착에 사용된 가스 혼합물중 질소의 존재는 , 연속적인 패턴형성 단계에서 포토리소그라피 기술의 사용을 촉진하는 증착된 텅스텐층의 반사율을 향상시키기 위해 제공되었다. 텅스텐층의 반사율이 실리콘층의 반사율과 비교되어 측정되었고, 실리콘 표면의 반사율과 동등하게 100%를 나타내었다.
텅스텐의 CVD증착중에, 진공챔버내의 압력은 약 20 내지 760 토르, 바람직하게는 약 60 내지 100 토르, 보다 바람직하게는 약 75 내지 85 토르, 통상적으로는 약 80 토르 정도로 유지된다. 압력이 1 토르를 약간 넘었을 경우에, 진공챔버내의 압력은 종래의 CVD 텅스텐 증착법에서의 압력보다 상당히 높다는 것이 주목된다. 다음에 설명되는 바와같이, 이와같은 고압은 현저하게 빠른 증착속도를 제공하여서, 종래의 텅스텐층의 증착속도 보다 매우 빠른 증착속도를 제공한다. 또한, 본 발명의 방법에 따른 고압은 증착된 텅스텐층의 표면의 반사율을 향상시키는데 매우 유용하다.
본 발명에 따르면, 이와 같은 고압의 사용은 WF6및 H2의 혼합물에서 이들 가스의 과도한 흐름속도 뿐만 아니라 이로 인한 희석가스의 흐름속도를 보다 용이하게 조종함으로써, 증착된 텅스텐층에 형성되는 응력을 조절할 수 있다는 점에 관심을 끈다. 본 발명의 방법을 처리조작의 어떠한 이론에도 속박시키는 것을 바라지는 않지만, 본 발명의 방법에 따르면 과도한 유량은 텅스텐층에 형성되는 기계적 응력을 변화시키는 역학적 구조의 변화를 동반할 수가 있다.
서셉터(susceptor)의 온도, 즉 증착챔버내의 웨이퍼 지지부의 온도는 증착중에 약 350℃ 내지 525℃, 바람직하게는 450℃ 내지 475℃의 범위로 유지된다.
증착시간은, 필요한 텅스텐층의 두께에 따라서 변화시킬 수가 있다. 본발명의 방법에서, 텅스텐의 증착속도는 종래의 방법에 따른 증착속도에 비해서 훨씬 빠르며, 약 2000 내지 7000Å/분 까지 변할 수 있다. 예를들어, 본 발명의 방법을 사용하여 약 0.8 내지 1.5 미크론, 통상적으로 1 미크론의 텅스텐층을 증착하기 위해서는, 증착시간이 약 2 내지 7분까지 변화될 수 있다.
증착단계는 상기한 온도 및 압력범위내에서 작동가능한 기존의 CVD 증착장치에 의해서 행해질 수가 있다. 현재 시판되고 있는 CVD 증착장치의 한 예는 캘리포니아 산타클라라에 소재한 본 출원인 어플라이드 머티어리얼스, 인코포레이티드에서 제조한 The Precision 5000의 다중챔버 증착 및 에칭 시스템이다. 본 발명의 실시예에서 사용된 이러한 다중챔버의 증착장치는 미합중국 특허 제 4,785,962호에 개시되어 있다.
이러한 증착장치에서는, 웨이퍼로부터 가스분배 입구부 또는 샤워헤드(showerhead)까지의 거리가 조정될 수 있어서, 웨이퍼는 그러한 입구부로부터 약 200 내지 600 밀, 바람직하게는 약 300 내지 500 밀, 통상적으로는 약 400 밀 정도의 간격을 두고 설치된다. 하지만, 적어도 300 내지 500 밀 범위의 거리 간격은 본 발명에 따른 방법의 작동에 영향을 주지 않는 것으로 밝혀졌다.
본 발명에 따른 방법의 또 다른 실시예에서, 텅스텐층을 증착시키는 단계에 앞서 핵생성층이 중간층 위로 형성된다. 이 핵생성층의 목적은 그 위에 증착되는 텅스텐층의 균일한 증착을 향상시키기 위한 성장층의 장소(sites)를 제공하는 것이다. 이러한 핵생성층의 필요성은 중간층을 형성하는데 사용된 재료들에 따라 변할 것이다. 스퍼터링된 텅스텐이나 스퍼터링된 티타늄 텅스텐이 중간층을 구성하고 있을 때, 바람직하게 핵생성층을 형성할 필요성은 거의 없으며 완전히 배제될 수도 있다. 하지만, 중간층이 티타늄 질화물로 구성된 경우에는, 핵생성층이 없이 티타늄 질화물층의 위에 직접적으로 증착된 CVD 텅스텐층은 전체층을 통해서 두께 및 저항율이 10 내지 15% 정도의 차이를 나타내는 것으로 밝혀져 있으므로, 이와같은 본 발명의 실시예에서 텅스텐층의 증착단계에 앞서 핵생성층을 형성하는 것이 중요하다.
이와같이 본 발명에 따른 방법의 실시예에서는 핵생성층을 형성하기 위하여, 앞서 설명한 바와같이 잘연층 및 중간층을 갖는 반도체 웨이퍼가 CVD 장치내에 설치된 상태에서, 실란 (SiH4)을 포함하고 있는 가스혼합물이 챔버안으로 유입된다.
이들 가스혼합물은 약 5 내지 50sccm의 WF6, 약 5 내지 약 50sccm의 SiH4, 약 500 내지 3000sccm의 Ar, 및 약 20 내지 300sccm의 N2를 포함할 것이다.
이미 상기한 바와같이, 텅스텐의 증착과정중에 진공챔버내의 압력은 약 20 내지 760 토르, 바람직하게는 약 60 내지 80 토르, 보다 바람직하게는 약 75 내지 85 토르, 통상적으로는 약 80 토르로 유지되는 동시에, 서셉터의 온도는 약 350 내지 525℃, 바람직하게는 약 450 내지 475℃로 유지된다.
충분한 두께, 즉 약 200내지 1000Å의 핵생성층의 성장은 전술한 조건하에서 약 5 내지 20초 이내에 발생할 것이다. 더 두꺼운 핵생성층이 처리시간을 더 연장함으로써 증착될 수 있지만, 이것은 소정의 목적, 즉 텅스텐층의 더 균일한 증착을 향상하기 위한 목적에는 불필요하다.
다음의 실시예들은 본 발명의 방법을 더 잘 설명할 것이다.
[실시예 1]
산화실리콘층 위에 형성된 티타늄 질화물층을 갖춘 150㎜ 직경의 실리콘 웨이퍼가 80 토르의 압력 및 450℃의 서셉터 온도로 유지된 CVD 진공챔버내에 놓여진다. 1000sccm Ar, 20sccm SiH4, 20sccm WF6및 50sccm N2를 함유하고 있는 가스혼합물이 웨이퍼로부터 400 밀 떨어진 출구부로부터 약 10초동안 챔버내로 유입되어서, 웨어퍼상에 약 500Å의 핵생성층을 증착시킨다.
[실시예 2]
제1실시예에서 핵생성층으로 피복된 웨이퍼는, 이 웨이퍼와 동일한 크기이며 핵생성층이 없이 산화실리콘상으로 각각 스퍼터링된 텅스텐 및 스퍼터링된 티타늄 텅스텐으로 피복된 웨이퍼들과 함께, 동일한 압력 및 온도하에서 각각 CVD 챔버내에 놓여지고, 제1실시예에서 설명한 바와같은 거리의 출구부로부터 3분동안 1500sccm Ar, 1000sccm H2, 30sccm WF6및 50sccm N2의 가스혼합물이 챔버 안으로 유입된다.
각각의 웨이퍼들이 제거될 때, 증착된 텅스텐층의 특성을 결정하기 위한 실험이 수행된다. 각각의 웨이퍼는 텅스텐층의 저항율에 의해서 측정했을 때, 약 9000Å의 두께로 증착되고(약 3000Å/분의 증착속도를 나타냄), 피복의 두께에 있어서 2% 이하의 변화를 갖는 균일한 텅스텐층을 갖는다는 사실이 확인되었다.
텅스텐층의 표면의 반사율은 실리콘층의 표면이 반사율에 비해서 100%인 것을 밝혀냈다. 또한, 텅스텐층의 저항율은 약 8.5 마이크로 오옴㎝로 측정되었고, 응력은 약 6×109dyn/㎝로 측정되었다.
[실시예 3]
본 발명의 방법을 더 상세히 설명하기 위하여, 제2실시예에서 사용된 웨이퍼들 중 어느 하나와 동일한 6(150㎜)직경의 스퍼터링된 티타늄 텅스텐층을 갖는 웨이퍼가 CVD 챔버내에 놓여지고, 가스혼합물에 질소가 포함되지 않은 것을 제외하고는 동일한 조건하에 CVD 챔버 안으로 가스혼합물을 유입시킨다.
그리고나서, 웨이퍼가 제거되어서 시험된다. 텅스텐층의 표면의 반사율은 실리콘의 반사율의 60%인 것으로 밝혀졌다. 텅스텐층의 표면의 저항율은 9마이크로 오옴㎝이고, 응력은 9×109dyn/㎝로 측정되었다.
[실시예 4]
제2실시예에 사용된 웨이퍼들 중 어느 하나와 동일한 다른 웨이퍼가 CVD 진공챔버내에 놓여지고, 온도를 475℃로 상승시킨 후에 Ar과 H2의 속도를 각각 2500sccm과 1500sccm으로 증가시킨 것을 제외하고는 제2 및 제3실시예와 동일한 조건하에서 웨이퍼를 가공처리하였다. 이 방법은 약 3분동안 행해졌으며, 약 9000Å의 텅스텐층이 증착되었다. 텅스텐층의 표면의 반사율은 실리콘의 반사율의 적어도 100%를 보였다. 따라서, 증착속도는 제2실시예에서와 마찬가지로 약 300Å/분 이었다. 텅스텐층의 응력은 약 1 내지 2×109dyn/㎝로 측정되었다.
[실시예 5]
저압의 공정과는 대조적으로 본 발명의 방법에 사용된 고압의 효과를 설명하기 위하여, 6(150㎜)지경의 웨이퍼가 80 토르로부터 단지 10 토르 아래로 낮추어진 압력 외에는 제2실시예와 동일한 조건으로 가공처리되었다. 그 결과, 텅스텐층의 반사율은 단지 실리콘층의 반사율의 20%에 불과했다.
지금까지 설명한 바와같이, 본 발명은 반도체 구조물 상에 텅스텐층을 증착시키기 위한 개선된 방법을 제공하는 것으로서, 그 증착속도 및 반사율은 종래의 방법에 비해서 현저하게 높은 압력하에서 증착공정이 행해짐으로써 향상되었고, 반사율은 증착에 사용된 가스들 중 질소의 존재에 의해서 향상되었으며, 핵생성층의 형성은 특히 티타늄 질화물층을 사용했을 때 텅스텐층의 균일성을 향상시킨다는 결론을 내렸다.

Claims (36)

  1. 증착챔버내에서 집적회로 구조물을 갖추고 있는 반도체 웨이퍼상에 텅스텐층을 증착시키는 방법에 있어서, (a) 상기 집적회로 구조물상에 절연층을 형성하는 단계와; (b) 상기 절연층상에 중간층을 형성하는 단계와; (c) 상기 증착챔버내의 압력을 적어도 20 토르로 유지시키면서 상기 중간층상에 텅스텐층을 증착시키는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제1항에 있어서, 상기 절연층은 실리콘 산화물을 포함하는 것을 특징으로 하는 방법.
  3. 제1항에 있어서, 상기 중간층은 텅스텐, 티타늄 텅스텐, 및 티타늄 질화물로 이루어진 그룹으로부터 선택된 재료를 포함하는 것을 특징으로 하는 방법.
  4. 제1항에 있어서, 상기 중간층은 스퍼터링된 텅스텐, 스퍼터링된 티타늄 텅스텐, 및 티타늄 질화물로 이루어진 그룹으로부터 선택된 재료를 포함하는 것을 특징으로 하는 방법.
  5. 제1항에 있어서, 티타늄 질화물을 포함하는 상기 중간층은, (a) 티타늄 질화물 티타늄 질화물을 스퍼터링하는 단계와, (b) 질소 가스의 존재하에서 티타늄 타겟으로부터 티타늄을 스퍼터링하는 반응성 스퍼터링 단계와, (c) 질소와의 열반응 전에 티타늄 타겟으로부터 티타늄을 스퍼터링하는 단계와, (d) 티타늄 질화물을 화학기상증착하는 단계에 의해서 형성되는 것을 특징으로 하는 방법.
  6. 제1항에 있어서, 상기 절연층은 실리콘 산화물을 포함하며, 상기 중간층은 티타늄 질화물을 포함하는 것을 특징으로 하는 방법.
  7. 제1항에 있어서, 상기 텅스텐층을 증착시키는 단계는 상기 증착챔버내의 압력을 20 내지 760 토르로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  8. 제7항에 있어서, 상기 텅스텐층을 증착시키는 단계는 상기 증착챔버내의 압력을 100 토르 이하로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  9. 제7항에 있어서, 상기 텅스텐층을 증착시키는 단계는 증착챔버내의 압력을 85 토르 이하로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  10. 제1항에 있어서, 상기 텅스텐층을 증착시키는 단계는 증착챔버내의 웨이퍼 지지부의 온도를 적어도 350℃로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  11. 제1항에 있어서, 상기 텅스텐층을 증착시키는 단계는 증착챔버내의 웨이퍼 지지부의 온도를 적어도 525℃이하로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  12. 제1항에 있어서, 상기 텅스텐층을 증착시키는 단계는 증착챔버내의 웨이퍼 지지부의 온도를 적어도 475℃이하로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  13. 제1항에 있어서, WF6, Ar, 및 H2가스를 포함하는 가스혼합물과 상기 웨이퍼를 접촉시킴으로써 상기 텅스텐층이 증착되는 것을 특징으로 하는 방법.
  14. 제13항에 있어서, 상기 텅스텐층을 증착시키는 중에 상기 가스혼합물은, (a) 20 내지 200sccm WF6와, (b) 100 내지 5000sccm Ar과, (c) 300 내지 3000sccm H2의 속도로 상기 증착챔버 안으로 유입되는 것을 특징으로 하는 방법.
  15. 제1항에 있어서, 티타늄 질화물을 포함하는 상기 중간층상에 텅스텐 핵생성층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  16. 증착챔버내에서 집적회로 구조물을 갖추고 있는 반도체 웨이퍼상에 텅스텐층을 증착시키는 방법에 있어서, (a) 상기 집적회로 구조물상에 절연층을 형성하는 단계와; (b) 상기 절연층상에 티타늄 질화물로 구성되어 있는 중간층을 형성하는 단계와; (c) 티타늄 질화물로 구성되어 있는 상기 중간층상에 화학기상증착으로 텅스텐 핵생성층을 증착하는 단계와; (d) 상기 핵생성층상에 텅스텐층을 증착시키는 단계를 포함하는 것을 특징으로 하는 방법.
  17. 제16항에 있어서, 상기 절연층은 실리콘 산화물을 포함하는 것을 특징으로하는 방법.
  18. 제16항에 있어서, 티타늄 질화물로 구성되어 있는 상기 중간층은, (a) 티타늄 질화물 타겟으로부터 티타늄 질화물을 스퍼터링하는 단계와, (b) 질소 가스의 존재하에서 티타늄 타겟으로부터 티타늄을 스퍼터링하는 반응성 스퍼터링 단계와, (c) 질소와의 열반응전에 티타늄 타겟으로부터 티타늄을 스퍼터링하는 단계와, (d) 티타늄 질화물을 화학기상증착하는 단계에 의해서 형성되는 것을 특징으로 하는 방법.
  19. 제16항에 있어서, 화학기상증착으로 텅스텐 핵생성층을 증착하는 상기 단계는 상기 증착챔버내의 상기 웨이퍼와 WF6, Ar, 및 SiH4가스를 포함하는 가스 혼합물을 접촉시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  20. 제19항에 있어서, 상기 화학기상증착으로 텅스텐 핵생성층을 증착시키기 위해서 상기 증착챔버를 통하여 유입되는 상기 가스 혼합물의 속도는 (a) 5 내지 50sccm WF6와, (b) 500 내지 3000sccm Ar과, (c) 5 내지 50sccm SiH4인 것을 특징으로 하는 방법.
  21. 제16항에 있어서, 화학기상증착으로 텅스텐 핵생성층을 증착하는 상기 단계는 상기 핵생성층의 증착중에 상기 증착챔버내의 압력을 적어도 20 토르로 유지시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  22. 제21항에 있어서, 화학기상증착으로 텅스텐 핵생성층을 증착하는 상기 단계는 상기 핵생성층의 증착중에 상기 증착챔버내의 압력을 적어도 20 내지 760 토르로 유지시키는 단계를 더 포함하는 것을 특징으로 하는방법.
  23. 제21항에 있어서, 화학기상증착으로 텅스텐 핵생성층을 증착하는 상기 단계는 상기 핵생성층의 증착중에 상기 증착챔버내의 압력을 적어도 100 토르 이하로 유지시키는 단계를 더 포함하는 것을 특징으로 하는방법.
  24. 제21항에 있어서, 화학기상증착으로 텅스텐 핵생성층을 증착하는 상기 단계는 상기 핵생성층의 증착중에 상기 증착챔버내의 압력을 85 토르 이하로 유지시키는 단계를 더 포함하는 것을 특징으로 하는방법.
  25. 제16항에 있어서, 핵생성층상에 텅스텐층을 증착시키는 상기 단계는 상기 증착챔버내의 웨이퍼 지지부상의 온도를 적어도 350℃로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  26. 제16항에 있어서, 핵생성층상에 텅스텐층을 증착시키는 상기 단계는 상기 증착챔버내의 웨이퍼 지지부상의 온도를 525℃ 이하로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  27. 제16항에 있어서, 핵생성층상에 텅스텐층을 증착시키는 상기 단계는 상기 증착챔버내의 웨이퍼 지지부상의 온도를 475℃ 이하로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  28. 제16항에 있어서, 핵생성층을 증착시키는 상기 단계는 상기 웨이퍼와 WF6, Ar, 및 H2가스를 포함하는 가스혼합물을 접촉시킴으로써 수행되는 것을 특징으로 하는 방법.
  29. 제18항에 있어서, 상기 텅스텐층의 증착중에 상기 가스 혼합물은, (a) 20 내지 200sccm WF6와, (b) 100 내지 5000sccm Ar과, (c) 300 내지 3000sccm H2의 속도로 상기 증착챔버 안으로 유입되는 것을 특징으로 하는 방법.
  30. 제16항에 있어서, 상기 핵생성층상에 텅스텐층을 증착시키는 상기 단계는 상기 텅스텐층의 증착중에 상기 증착챔버내의 압력을 적어도 20 토르로 유지시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  31. 증착챔버내에서 집적회로 구조물을 갖추고 있는 반도체 웨이퍼상에 텅스텐층을 증착시키는 방법에 있어서, (a) 상기 집적회로 구조물상에 절연층을 형성하는 단계와; (b) 상기 절연층상에 중간층을 형성하는 단계와; (c) 질소 가스의 존재하에서 상기 중간층상에 텅스텐을 증착시키는 단계를 포함하는 것을 특징으로 하는 방법.
  32. 제31항에 있어서, 상기 텅스텐층을 증착시키는 상기 단계는 상기 증착챔버내의 압력을 적어도 20 토르로 유지시키면서 수행되는 것을 특징으로 하는 방법.
  33. 제31항에 있어서, 상기 절연층은 실리콘 산화물을 포함하는 것을 특징으로 하는 방법.
  34. 제31항에 있어서, 상기 중간층은 텅스텐, 티타늄, 및 티타늄 질화물로 이루어진 그룹으로부터 선택된 재료를 포함하는 것을 특징으로 하는 방법.
  35. 제31항에 있어서, 상기 중간층은 티타늄 질화물을 포함하는 것을 특징으로 하는 방법.
  36. 제31항에 있어서, 상기 중간층은 티타늄 질화물을 포함하며, 티타늄 질화물을 포함하는 상기 중간층상에 화학기상증착으로 텅스텐 핵생성층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 방법.
KR1019900013073A 1989-08-25 1990-08-24 반도체 웨이퍼 상에 텅스텐 층을 증착시키는 방법 KR0174543B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/398,653 US5028565A (en) 1989-08-25 1989-08-25 Process for CVD deposition of tungsten layer on semiconductor wafer
US07-398,653 1989-08-25

Publications (2)

Publication Number Publication Date
KR910005397A KR910005397A (ko) 1991-03-30
KR0174543B1 true KR0174543B1 (ko) 1999-04-01

Family

ID=23576244

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019900013073A KR0174543B1 (ko) 1989-08-25 1990-08-24 반도체 웨이퍼 상에 텅스텐 층을 증착시키는 방법

Country Status (5)

Country Link
US (1) US5028565A (ko)
EP (3) EP0689231A3 (ko)
JP (2) JPH0765174B2 (ko)
KR (1) KR0174543B1 (ko)
DE (1) DE69030541T2 (ko)

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5231055A (en) * 1989-01-13 1993-07-27 Texas Instruments Incorporated Method of forming composite interconnect system
US5240505A (en) * 1989-08-03 1993-08-31 Mitsubishi Denki Kabushiki Kaisha Method of an apparatus for forming thin film for semiconductor device
US5250467A (en) * 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
AU3226793A (en) * 1991-11-26 1993-06-28 Materials Research Corporation Method of modifying contact resistance in semiconductor devices and articles produced thereby
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
GB9219281D0 (en) * 1992-09-11 1992-10-28 Inmos Ltd Manufacture of semiconductor devices
KR950010854B1 (ko) * 1992-10-30 1995-09-25 현대전자산업주식회사 텅스텐 플러그 형성방법
US5272112A (en) * 1992-11-09 1993-12-21 Genus, Inc. Low-temperature low-stress blanket tungsten film
JP3358328B2 (ja) * 1994-10-27 2002-12-16 ソニー株式会社 高融点金属膜の成膜方法
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6077781A (en) 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
JP2800788B2 (ja) * 1996-06-27 1998-09-21 日本電気株式会社 半導体装置の製造方法
JP2937137B2 (ja) * 1996-09-27 1999-08-23 日本電気株式会社 半導体装置の製造方法
TW365685B (en) * 1996-10-31 1999-08-01 Texas Instruments Inc Low-temperature processes for depositing barrier films containing tungsten and nitrogen
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6156382A (en) * 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5956609A (en) * 1997-08-11 1999-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing stress and improving step-coverage of tungsten interconnects and plugs
US6605531B1 (en) 1997-11-26 2003-08-12 Applied Materials, Inc. Hole-filling technique using CVD aluminum and PVD aluminum integration
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6211082B1 (en) 1998-02-10 2001-04-03 Samsung Electronics Co., Ltd. Chemical vapor deposition of tungsten using nitrogen-containing gas
US6451677B1 (en) * 1998-02-23 2002-09-17 Texas Instruments Incorporated Plasma-enhanced chemical vapor deposition of a nucleation layer in a tungsten metallization process
US6066366A (en) * 1998-07-22 2000-05-23 Applied Materials, Inc. Method for depositing uniform tungsten layers by CVD
US6037263A (en) * 1998-11-05 2000-03-14 Vanguard International Semiconductor Corporation Plasma enhanced CVD deposition of tungsten and tungsten compounds
US6387445B1 (en) 1999-01-13 2002-05-14 Tokyo Electron Limited Tungsten layer forming method and laminate structure of tungsten layer
US6245654B1 (en) * 1999-03-31 2001-06-12 Taiwan Semiconductor Manufacturing Company, Ltd Method for preventing tungsten contact/via plug loss after a backside pressure fault
JP2001060564A (ja) * 1999-08-23 2001-03-06 Nec Corp 半導体装置の製造方法
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6429126B1 (en) * 2000-03-29 2002-08-06 Applied Materials, Inc. Reduced fluorine contamination for tungsten CVD
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
JP2002151435A (ja) * 2000-10-31 2002-05-24 Applied Materials Inc 導電部の形成方法
EP1219725B1 (en) 2000-12-28 2005-08-17 AMI Semiconductor Belgium BVBA Method for tungsten chemical vapor deposition on a semiconductor substrate
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US6464778B2 (en) 2001-01-17 2002-10-15 Promos Technologies Inc. Tungsten deposition process
DE10102742C1 (de) * 2001-01-22 2002-09-12 Promos Technologies Inc Wolframabscheideprozess
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6646752B2 (en) * 2002-02-22 2003-11-11 Taiwan Semiconductor Manufacturing Co. Ltd Method and apparatus for measuring thickness of a thin oxide layer
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR100555514B1 (ko) * 2003-08-22 2006-03-03 삼성전자주식회사 저 저항 텅스텐 배선을 갖는 반도체 메모리 소자 및 그제조방법
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
WO2009064530A2 (en) * 2007-08-30 2009-05-22 Washington State University Research Foundation Semiconductive materials and associated uses thereof
US7772114B2 (en) * 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8623733B2 (en) * 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
US8709948B2 (en) 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
TWI602283B (zh) 2012-03-27 2017-10-11 諾發系統有限公司 鎢特徵部塡充
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140273451A1 (en) * 2013-03-13 2014-09-18 Applied Materials, Inc. Tungsten deposition sequence
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8900999B1 (en) 2013-08-16 2014-12-02 Applied Materials, Inc. Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US20170309490A1 (en) * 2014-09-24 2017-10-26 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9758367B2 (en) 2015-12-09 2017-09-12 Analog Devices, Inc. Metallizing MEMS devices
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
DE102017114085B4 (de) 2016-06-28 2023-05-04 Analog Devices, Inc. Selektive leitfähige Beschichtung für MEMS-Sensoren
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112262457A (zh) 2018-05-03 2021-01-22 朗姆研究公司 在3d nand结构中沉积钨和其他金属的方法
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20220011092A (ko) * 2020-07-20 2022-01-27 에이에스엠 아이피 홀딩 비.브이. 전이 금속층을 포함하는 구조체를 형성하기 위한 방법 및 시스템
US11939668B2 (en) * 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1932932A1 (de) * 1969-06-28 1971-01-07 Bbc Brown Boveri & Cie Halbleiterelement und Verfahren zu dessen Herstellung
JPS60145376A (ja) * 1983-12-30 1985-07-31 Fujitsu Ltd タングステンシリサイド膜の成長方法
EP0174743A3 (en) * 1984-09-05 1988-06-08 Morton Thiokol, Inc. Process for transition metal nitrides thin film deposition
JPS61198628A (ja) * 1985-02-27 1986-09-03 Fujitsu Ltd タングステン膜の選択成長方法
DE3525203C1 (de) * 1985-07-15 1986-05-15 Kernforschungszentrum Karlsruhe Gmbh, 7500 Karlsruhe Verfahren zur Vermeidung der Bildung von Hydrolyseprodukten aus Uranhexafluorid und/oder gasfoermigen Fluorierungsmitteln in technischen Anlagen
JPS62253770A (ja) * 1986-04-28 1987-11-05 Hitachi Ltd 金属薄膜形成方法
US4756927A (en) * 1986-05-29 1988-07-12 Massachusetts Institute Of Technology Method and apparatus for refractory metal deposition
DE3772659D1 (de) * 1986-06-28 1991-10-10 Ulvac Corp Verfahren und vorrichtung zum beschichten unter anwendung einer cvd-beschichtungstechnik.
US4800105A (en) * 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
JPS6376875A (ja) * 1986-09-19 1988-04-07 Fujitsu Ltd 気相成長法
US4785962A (en) * 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
JP2542617B2 (ja) * 1987-04-30 1996-10-09 株式会社東芝 半導体装置の製造方法
JP2585623B2 (ja) * 1987-09-04 1997-02-26 株式会社日立製作所 半導体装置の製造方法
NL8800221A (nl) * 1988-01-29 1989-08-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.

Also Published As

Publication number Publication date
JP3094004B2 (ja) 2000-10-03
US5028565A (en) 1991-07-02
DE69030541T2 (de) 1997-09-11
EP0689232A3 (en) 1997-06-04
KR910005397A (ko) 1991-03-30
JPH0390572A (ja) 1991-04-16
EP0689232A2 (en) 1995-12-27
DE69030541D1 (de) 1997-05-28
EP0414267A3 (en) 1991-12-27
JPH0765174B2 (ja) 1995-07-12
EP0689231A2 (en) 1995-12-27
EP0414267A2 (en) 1991-02-27
JPH10275784A (ja) 1998-10-13
EP0689231A3 (en) 1997-06-04
EP0414267B1 (en) 1997-04-23

Similar Documents

Publication Publication Date Title
KR0174543B1 (ko) 반도체 웨이퍼 상에 텅스텐 층을 증착시키는 방법
CA2067565C (en) Deposition of tungsten
US5273775A (en) Process for selectively depositing copper aluminum alloy onto a substrate
EP0763146B1 (en) LOW TEMPERATURE PLASMA-ENHANCED FORMATION OF TiN FILMS
US5817576A (en) Utilization of SiH4 soak and purge in deposition processes
US6472309B1 (en) In situ plasma pre-deposition wafer treatment in chemical vapor deposition technology for semiconductor integrated circuit applications
US6025269A (en) Method for depositioning a substantially void-free aluminum film over a refractory metal nitride layer
US4777061A (en) Blanket tungsten deposition for dielectric
JP2599560B2 (ja) ケイ化タングステン膜形成方法
KR100427905B1 (ko) 금속의 화학 증착에서 모서리 효과를 제거하기 위한 방법
KR0139718B1 (ko) 금속막 선택영역 기상성장방법
JP4079591B2 (ja) 金属被膜の化学蒸着法
GB2181456A (en) Chemical vapour deposition of tungsten on dielectrics
US6120842A (en) TiN+Al films and processes
KR100289515B1 (ko) 베리어 메탈층 및 그 형성방법
JP3003607B2 (ja) バリア膜の形成方法と半導体装置
IE911059A1 (en) Process and apparatus for producing conductive layers or¹structures for circuits integrated on the very largest scale
KR930005947B1 (ko) 반도체장치 제조방법
KR19990029260A (ko) 화학기상증착법에 의한 금속질화막 형성방법 및 이를 이용한 반도체장치의 금속컨택 형성방법
KR100477813B1 (ko) 반도체장치의텅스텐금속배선형성방법
EP0839927A2 (en) Method of forming films comprising TiN and Al
JP2677230B2 (ja) TiN膜の形成方法
Itoh et al. Transmission Electron Microscopy of MOCVD Titanium Nitride Films
JPH04125923A (ja) Cvdタングステン膜の形成方法
JPH05211148A (ja) 金属薄膜の形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20071005

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee