JPH0851154A - バイアをつくる方法 - Google Patents

バイアをつくる方法

Info

Publication number
JPH0851154A
JPH0851154A JP7104296A JP10429695A JPH0851154A JP H0851154 A JPH0851154 A JP H0851154A JP 7104296 A JP7104296 A JP 7104296A JP 10429695 A JP10429695 A JP 10429695A JP H0851154 A JPH0851154 A JP H0851154A
Authority
JP
Japan
Prior art keywords
layer
organic
dielectric layer
conductors
patterned
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7104296A
Other languages
English (en)
Inventor
Robert H Havemann
エッチ.ヘイブマン ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH0851154A publication Critical patent/JPH0851154A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/051Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【目的】 接続層の上にある隣合った導体の間の静電容
量を減少する。 【構成】 パターンぎめした導体18,44の間の水平
方向の隙間が、有機含有誘電体材料(例えばアライド・
シグナル500シリーズ)22及び54によって実質的
に埋められる。二酸化珪素の様な材料で、有機含有誘電
体層22,54の上に夫々無機誘電体層24,56が形
成される。有機含有誘電体材料を目立ってエッチングし
ない高密度プラズマ内のフロロカーボンの様なエッチン
グ過程を用いて、無機誘電体層にバイアをエッチングす
る。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】この発明は全般的に半導体装置の
製造、更に具体的に云えば、半導体装置の導体層の間の
セルフアライン形接続部及びその製法に関する。
【0002】
【従来の技術及び課題】半導体は計算機及びテレビの様
な電子装置の集積回路に広く使われている。こう云う集
積回路は典形的には多数のトランジスタを単結晶シリコ
ン・チップの上に組合せて、複雑な機能を果たすと共に
データを記憶する。所定の回路の機能性又は容量を高め
る為には、一般的には1個のチップに集積しなければな
らないトランジスタの数をそれに対応して増加すること
が必要である。この増加は、チップの上に収容されるト
ランジスタ及びその他の装置の寸法を小さくすることに
よって達成される場合が多い。チップ上の個別の装置の
間の距離並びに寸法が小さくなるにつれて、回路の設計
及び製造に関係する技術的な難題が何倍にもなる。
【0003】VLSI(大規模集積)回路の設計で倍率
を決める時の1つの問題は、マスクの整合の許容公差が
減少することをどうするかである。典形的な回路にある
装置は、何れも絶縁層又は層間誘電体によって互いに分
離された導体の2つ又は更に多くのパターンぎめした層
によって接続されている。絶縁体の下にある導体又は装
置に対する電気接続ができる様に、層間誘電体に形成さ
れた孔がバイア(via)である。マスクを使って、導
体の各々の層に対するパターンをつくると共に、層間誘
電体を通り抜けるバイアに対するパターンをつくる。マ
スクが互いに整合していないと、バイアが所望の導体又
は装置の一部分しか露出しないことがある。整合外れが
重大であると、或る接続部は他の導体に短絡したり、或
いは開路状態のまゝになり、回路が動作しなくなる。普
通、この問題はバイアの接続部に許容公差を見込むこと
によって解決するが、これはチップ上により多くの場所
を必要とするし、或いは更に大掛かりなマスク整合手順
及び装置を使うことによって解決している。
【0004】VLSIの設計で2番目の難題は、隣合っ
た導体の間の静電容量の管理である。静電容量は、一面
では、1本の導体に沿って信号が伝わって、隣の導体に
望ましくない信号(漏話)を生ずる能力の目安である。
この結果、とりわけ、装置の究極的な速度に制約が生ず
ることがある。一般的に、絶縁体によって隔てられた任
意の2つの導電領域の間には静電容量効果が存在する。
静電容量は、その間にある絶縁体の誘電率と共に、導電
領域の共通区域に直接的に関係する。2つの導電領域の
間の距離が短くなるにつれて、静電容量も増加する。
【0005】従来の半導体の製造では、同じレベルにあ
る隣接した導体の間の隙間充填材、並びに層間絶縁体の
両方として、二酸化シリコン又は同様な絶縁材料を用い
ている。二酸化シリコンは誘電率が約3.9である。こ
の誘電率は、1.0が真空の誘電率を表わす様な目盛に
基づいている。種々の材料は、1.0に極く近い値から
数百と云う値までの誘電率を持っている。この明細書で
云う低kと云う用語は、誘電率が3.5未満である材料
を指す。
【0006】隣合った導体の間の絶縁体として満足し得
る低kの材料を用いて半導体装置をつくることのできる
方法に対する要望がある。都合の悪いことに、誘電率以
外の他の多くの因子がこう云う方法の成長に影響を与え
てきた。例えば、構造的な頑丈さ、適用方法、温度の制
限、エッチャントに対する反応、伝熱性、周囲構造の汚
染、及び収縮が、新しい誘電体材料又は方法を選ぶ時に
やはり考慮しなければならない若干の因子である。この
問題を解決しようとして、幾つかの方法が試みられてき
た。提案された1つの方法は、中空の球を含む材料を使
うものである。球の中の空気が材料の誘電率を下げるの
に役立つ。この方法の場合の1つの大きな問題は、1ミ
クロン未満の間隔で隔たる導体の間に容易に流れ込む位
の小さい寸法で、こう云う球を製造することである。提
案された別の方法は、多孔質酸化物を含めて種々の多孔
質材料を使っている。こう云う材料のエッチングは、そ
の固有の透過性の為に制御するのが非常に困難である。
更に、これは構造的に不良であると云う特性を持つ傾向
がある。重合体又は有機物で形成された誘電体は、以後
の処理工程を制限する惧れがある様な低い温度と云う制
約、構造的な頑丈さがないこと、伝熱性が不良であるこ
と及びエッチングの問題を含めて、幾つかの欠点があ
る。特に、将来性がある様に思われた幾つかの候補も、
エッチング過程を制御できないことによって影響を受け
ている。
【0007】
【課題を解決する為の手段及び作用】マスクの整合の許
容公差が減少していること、隣合った導体の間の静電容
量が増加すること、並びに低k候補の伝熱性及び構造的
な頑丈さの不良を含めて、集積回路を更に小形化するこ
とに関する幾つかの問題を以上説明した。この発明は、
これらの問題の多くに対する解決策を同時に組合せた最
初の半導体製造手順になると考えられる。水平方向に隣
合う導体の間の隙間充填材として有機含有低k誘電体材
料を使い、こうして線間静電容量を減少する。同じ有機
含有層がエッチ・ストッパとしての性質を持っており、
これを利用して、バイアの整合外れの問題を埋合せるこ
とができる。層間誘電体の大部分は、良好な伝熱性及び
構造特性を有する酸化物又はその他の普通の誘電体で構
成されている。
【0008】この発明は、半導体装置の上にある層の間
の電気接続部に対するバイアをつくる方法を提供する。
この方法は、パターンぎめした導体の層を形成し、少な
くとも2つのパターンぎめした導体の間の空間の少なく
とも50%に亘る有機含有誘電体層を形成することを含
むことができる。有機含有層はパターンぎめした導体の
厚さの50%乃至150%の厚さを持つと共に、誘電率
が3.5未満である。更にこの方法は、有機含有誘電体
層並びにパターンぎめした導体の露出した部分であれ
ば、その部分を覆う無機誘電体層をデポジットし、有機
含有層に対して選択性を持つエッチャントを用いて無機
誘電体の中にバイアをエッチングすることを含むことが
できる。この方法では、有機含有誘電体層がエッチ・ス
トッパとして作用し、バイアとパターンぎめした導体の
間の整合外れ、又は半導体装置に亘る不均一な形状の何
れかによる過剰エッチングを防止しながら、二酸化シリ
コン誘電体に比べて、線間静電容量を減少する。
【0009】この発明の方法は、半導体装置の上にある
パターンぎめした導体の任意の相互接続層に応用するこ
とができる。パターンぎめした導体が、半導体装置に集
積された電界効果トランジスタのゲートの上側極板をも
形成している様なポリシリコン層の一部分である場合に
も、この方法を用いることができる。無機誘電体層は9
5%より多くの二酸化シリコン、窒化シリコン又はその
組合せで構成することが好ましい。有機含有層は、重量
で10%乃至100%の有機材料を含む材料で構成する
ことが好ましく、有機材料はポリイミドの様な重合体で
ある。この代わりに、有機含有層は、低k無機部分層の
上にある有機部分層を含む少なくとも2つの部分層で構
成することができる。この場合、低k無機層が、例えば
多孔質珪化物である。
【0010】この発明は、その特徴及び利点を含めて、
以下図面について説明する所から、最も良く理解されよ
う。
【0011】
【実施例】実施例では、この発明は、導体の間の空間を
埋め且つ導体を完全に覆う有機含有材料の層を用いて、
半導体装置の上にあるパターンぎめした導体の相互接続
層の間にセルフアライン形のバイアをつくる方法を提供
する。この実施例の方法が図1に示されている。図1A
について説明すると、絶縁層10の上に導電層12がデ
ポジットされる。導電層12を絶縁層10を通ってその
下にある構造(図に示してない)に接続することができ
る。フォトレジストの薄い層14が導電層12の上に回
転付着され、マスク・パターンを介して露出されて現像
され、導電層12を除去しようとする所では、フォトレ
ジスト層14が隙間16を持つ様にする。次に図1Bに
ついて説明すると、フォトレジスト層内の隙間の下から
材料を除去して、隙間20によって隔てられたパターン
ぎめした導体18をつくるエッチング過程を用いて、導
電材料が除去されている。図1Aのフォトレジスト14
も剥がされていて、図1Bには出てこない。図1Cはこ
の構造に追加された別の層を示す。有機含有誘電体層2
2が図1Bの隙間20を埋めると共に、パターンぎめし
た導体18を、その高さの約10%の厚さまで覆ってい
る。この有機含有誘電体層は回転付着硝子(SOG)で
つくることができる。これは重合体(例えばアライド・
シグナル500シリーズ)を含み、それが約400℃の
温度で30分間アニールされる。例えば二酸化シリコン
でつくられた無機誘電体層24が有機含有誘電体層22
の上にデポジットされ、その後、例えば化学−機械式研
磨装置を用いて平面化される。その後、無機誘電体層2
4の上に新しいフォトレジスト層26がデポジットされ
る。フォトレジスト層26のマスク・パターンぎめ及び
現像の後、並びに無機誘電体層24の異方性エッチング
の後のバイア28が示されている。有機含有の例として
のアライド・シグナル500シリーズの場合、高密度プ
ラズマ内のフロロカーボン・エッチャントの様に、目立
って有機含有誘電体層22をエッチングしないエッチ過
程を用いて、この工程が完了することが重要である。最
後に、図1Dについて説明すると、有機含有誘電体層2
2の短い異方性エッチがバイア28の中をパターンぎめ
した導体18まで広がっている。この発明の1つの利点
を例示する為に、バイア28はわざと導体18の左側に
若干整合外れになった状態を示してある。エッチ・スト
ッパとして作用する有機含有誘電体層22がなければ、
比較的厚手の無機誘電体層24の中でのエッチングによ
り、一般的には、導体18の側面にまで達する大きな空
所ができる。この空所は機械的な問題を招くだけでな
く、その後を金属で埋めた場合、導体とそれに隣接する
隣の導体との間の距離を減少する(従って、静電容量を
増加する)。厚手の無機誘電体の中でのエッチングは、
有機含有誘電体によって停止させられるが、その後に続
いて有機含有誘電体の制御可能な短いエッチングが続
き、その結果、バイアは、整合外れになっていても、大
体導体の上側レベルの所で停止する。この発明のこのセ
ルフアラインの特徴は、隣合った導体の間に低k材料が
ある別の利点と組合さって、隣合った導体の間の静電容
量を小さくすると共に、金属システム全体の信頼性を一
層高くする。
【0012】幾つかの理由があるが、無機誘電体層の誘
電率は、同じレベルにある導体の間に保たれるものほど
重大ではない。第1に、無機誘電体層は、同じレベルに
ある導体の間の間隔に比べて、比較的厚手につくること
ができる。第2に、互いに他方の上にある又は下にある
導体が、長い距離に亘って平行に伸びない様に、一般的
に導体の2つの層をつくることができる。この観察から
この発明の別の利点が出てくる。無機誘電体層は、実質
的に層間誘電体の全部であってよいが、導体の層の間に
適切な電気的な隔離作用を維持しながら、優れた伝熱性
及び構造的な頑丈さを持つ様に、低kでない材料(例え
ば二酸化シリコン)で構成することができる。
【0013】図2は、異なる構造をつくるようにプロセ
ス工程を変更した別の実施例を示す。この実施例では、
有機含有層22をデポジットしてアニールした後、導体
18の頂部が露出する様にエッチバックする。有機含有
層22の厚さは、導体の間の静電容量を小さく抑える為
に、導体18の厚さに近いまゝ、大体90%であること
が好ましい。最初の実施例の場合の様に無機誘電体層2
4をデポジットしてエッチングするが、今度は無機誘電
体層のエッチングが、有機含有層22及び導体18の両
方によって停止させられる。第1の実施例の利点はその
まゝであるが、バイアを完成するのに、有機含有層の異
方性エッチングは必要ではない。
【0014】図3は、パターンぎめした導体18の間に
無機の低k誘電体32(例えば多孔質珪化物)をデポジ
ットする別の実施例を示す。有機含有キャップ層34
は、比較的薄くしてよいが、この構造の上にデポジット
される。無機誘電体層36を有機含有キャップ層34の
上にデポジットして、層間誘電体を完成する。この構成
では、有機含有キャップ層34が無機誘電体層36のエ
ッチングに対するエッチ・ストッパとして作用する。無
機誘電体32もキャップ層34に対するエッチ・ストッ
パとして作用し得る(但しキャップ層34が十分薄けれ
ば、これは必要ではないことがある)。この実施例の追
加の利点としては、層内の誘電率が更に低くなること、
及び無機の低k誘電体層32のエッチング特性を緩和し
ながら、前に述べたその他の利点をそのまゝ保つことが
できることが挙げられる。
【0015】図4は導体18及び絶縁層10が比較的薄
い不活性化層38によって覆われているこの発明の更に
別の実施例を示す。残りの材料のデポジッション及びバ
イア30の形成は、図2の実施例と同じ様に完成され
る。然し、有機含有層22は導体18の間の水平方向の
隙間の一部分にしか及ばない。これは、不活性化層38
が導体の側面を覆うことが示されているからである。こ
の実施例でも、無機誘電体層24のエッチングの後、バ
イアの底をきれいにして導体18を露出する為に、不活
性化層38の短いエッチングが必要になることがある。
【0016】図5は、基板/ポリシリコン・レベルにあ
る装置の特徴に対する接点孔及びバイアを形成する為に
この発明を用いた別の実施例を示す。トランジスタが普
通の様に基板40の上に形成される。基板40は、フィ
ールド酸化物42、ゲート酸化物47、側壁酸化物46
を持つポリシリコン・ゲート44、及び装置のソース/
ドレイン及びゲート領域の上に形成された珪化チタンの
様なセルフアラインの珪化物48を持つことが示されて
いる。この構造の上に、TEOS(テトラエトキシシラ
ン)の様な材料の薄い障壁層50及びBPSG(硼燐珪
酸塩硝子)の様な材料のゲッタ層52がつくられる。最
後に、好ましくは隣合ったポリシリコン導体の間の空間
を適切に埋める様な厚さに、有機含有層54が同形にデ
ポジットされる。この構造全体の上に無機誘電体層56
がデポジットされ、平面化される。この発明の別の利点
を例示する為に、途中までつくられたソース/ドレイン
接点孔60及びポリシリコン接点孔58が示されてい
る。ゲート接点はフィールド酸化物の上につくるのが普
通であるが、バイポーラ・ポリシリコン・エミッタに対
する接点は、直接的に能動装置領域の上につくる場合が
多い。有機含有層54がエッチ・ストッパの性質を持つ
ことにより、無機誘電体層56のエッチングによって、
(装置の固有の形状の為にそうなる場合が典形的である
が)長さが等しくない接点孔を形成することができる。
例えば、エッチングの際、ポリシリコン接点58は、ソ
ース/ドレイン接点孔60よりも十分先に、有機含有層
54に達するが、ポリシリコン接点58のエッチング
は、層54を深く過剰エッチングする(そして場合によ
ってはポリシリコン・ゲートの中にまで入る)代わりに
停止される。この後、有機含有層54の略同じ厚さに亘
る後続のエッチングを進めて、接点孔を完成することが
できる。この実施例は別の利点、即ち、ゲート・レベル
に於ける隣合ったポリシリコン導体の間の静電容量の減
少を例示する為にも、この方法が及ぶことを示してい
る。
【0017】図6は図5の構造で、有機含有層54、ゲ
ッタ層52及び障壁層50にバイア58及び設定孔60
がエッチングされて、接点層の珪化物48が露出する状
態を示している。導電材料(例えばTi/TiN/Al
Cu合金)が接点孔にデポジットされ、珪化物接点48
を、無機誘電体層56に重なって形成されたパターンぎ
めした導体18に電気接続する。
【0018】図7は、この発明の種々の特徴及び利点を
パターンぎめした導体の多重層にどの様にして用いるこ
とができるかを例示するこの発明の実施例を示してい
る。図7の左側には図6と同様な構造がある。右側に
は、フィールド酸化物42の上に追加の2つのポリシリ
コン導体44が示されており、同形の有機含有層54が
2つの導体の間の空間を実質的に埋めている。無機誘電
体層56の中を幾つかの長さの異なる接点が通り抜けて
いることが示されている。相互接続層のパターンぎめし
た導体18が、無機誘電体層56に重なっていることが
示されており、埋められた接点がパターンぎめした導体
18を下向きに珪化物接点48に接続しており、埋めら
れたバイアが導体18を上向きにパターンぎめした導体
の第3の層(図に示していない)に接続している。パタ
ーンぎめした導体18の間の隙間は有機含有誘電体層2
2によって実質的に埋められ、無機層間誘電体24がキ
ャップになっている。
【0019】下記の表は、若干の実施例及び図面をまと
めたものである。
【表1】 この発明はこゝで説明した特定の例に制約するものと解
してはならない。これらの例はこの発明を例示するもの
であって、制約するものではないからである。この発明
は、この発明の範囲を逸脱しない全ての方法に及ぶこと
を承知されたい。例えば、当業者であれば、例に示した
相対的な厚さ及び間隔を変更して、この発明の或る面を
強調すること、又は同様な方法に追加の層を用いてもよ
いことは直ちに明らかである。若干の特定の例の性質を
組合せても、この発明の範囲を逸脱しない。
【0020】さらに以下の項目を開示する。
【0021】(1) 半導体装置上にある層の間の電気
接続部に対するバイアをつくる方法に於て、基板の上に
パターンぎめした導体の層を形成し、該パターンぎめし
た少なくとも2つの導体の間の空間の少なくとも50%
に亘る有機含有誘電体層を形成し、該有機含有層は、前
記導体の間の隙間で測定して、前記パターンぎめした導
体の厚さの50%乃至150%の厚さを持っており、前
記有機含有層は3.5未満の誘電率を持ち、前記有機含
有誘電体層並びに前記導体の内の露出している部分があ
れば該部分を覆う無機誘電体層をデポジットし、前記有
機含有層に対して選択性を持つエッチを用いて前記無機
誘電体の中にバイアをエッチングする工程を含み、この
時前記有機含有誘電体層がエッチ・ストッパとして作用
すると共に、マスクの整合外れ又は装置の不均一な形状
による過剰エッチングを防止し、且つ二酸化シリコン誘
電体に比べて、隣接した対の導体の間の静電容量が減少
するようにした方法。
【0022】(2) 請求項1記載の方法に於て、パタ
ーンぎめした導体の層が相互接続層である方法。
【0023】(3) 請求項2記載の方法に於て、前記
相互接続層が、アルミニウム、銅、チタン、白金、金、
タングステン、ポリシリコン、タンタル、TiN、Ti
Si2 、及びその組合せからなる群から選ばれた材料で
構成されている方法。
【0024】(4) 請求項2記載の方法に於て、有機
含有誘電体層を形成する工程が、前記装置の上に有機含
有材料をデポジットし、前記導体の間の隙間で測定した
厚さが前記パターンぎめした導体の厚さの50%乃至9
5%になる様に前記材料をエッチバックすることを含む
方法。
【0025】(5) 請求項2記載の方法に於て、有機
含有誘電体層を形成する工程が、前記装置の上に有機含
有材料をデポジットし、前記導体の間の隙間で測定した
厚さが前記パターンぎめした導体の厚さの約90%にな
るように前記材料をエッチバックすることを含む方法。
【0026】(6) 請求項2記載の方法に於て、有機
含有誘電体層を形成する工程が、導体の間の隙間で測定
した当該低k層の最終的な厚さが、前記パターンぎめし
た導体の厚さの105%乃至150%になる様な形で、
前記装置の上に低k材料をデポジットすることを含む方
法。
【0027】(7) 請求項2記載の方法に於て、低k
誘電体層を形成する工程が、導体の間の隙間で測定した
前記有機含有層の最終的な厚さが前記パターンぎめした
導体の厚さの略110%になる様な形で、前記装置の上
に有機含有材料をデポジットすること含む方法。
【0028】(8) 請求項7記載の方法に於て、有機
含有材料をデポジットする工程が、重量で10%乃至1
00%の重合体を含むSOGを回転付着し、300℃よ
り高い温度でアニールすることを含む方法。
【0029】(9) 請求項1記載の方法に於て、前記
有機含有誘電体層が、重量で10%乃至100%の重合
体を含む材料で構成されている方法。
【0030】(10) 請求項9記載の方法に於て、前
記無機誘電体層が95%より多くの二酸化シリコン、窒
化シリコン又はその組合せで構成されている方法。
【0031】(11) 請求項1記載の方法に於て、前
記有機含有層が、無機部分層の上の有機含有部分層を含
む少なくとも2つの部分層で構成されており、前記無機
部分層が3.0未満の誘電率を持つ方法。
【0032】(12) 請求項11記載の方法に於て、
前記無機低k部分層が多孔質珪化物で構成されている方
法。
【0033】(13) 請求項11記載の方法に於て、
更に、前記無機部分層に対して選択性を持つ第2のエッ
チャントを用いて、前記有機含有部分層の中にバイアを
エッチングすることを含み、この時、前記無機部分層が
エッチ・ストッパとして作用する方法。
【0034】(14) 請求項1記載の方法に於て、前
記有機含有誘電体層を形成する前に、前記パターンぎめ
された導体の上に1つ又は更に多くの比較的薄い不活性
化層を形成する工程を含む方法。
【0035】(15) 請求項1記載の方法に於て、前
記パターンぎめした導体の層がポリシリコン層であり、
該ポリシリコン層が前記半導体装置に集積された電界効
果トランジスタのゲートの上側極板をも形成している方
法。
【0036】(16) 請求項15記載の方法に於て、
前記有機含有誘電体層が前記パターンぎめした導体及び
基板の上に同形にデポジットされる方法。
【0037】(17) 請求項15記載の方法に於て、
前記バイア内に導体を形成して、前記半導体装置のソー
ス・ドレイン領域並びに前記ポリシリコン層に対する電
気接点を設ける方法。
【0038】(18) 基板の上に形成されたパターン
ぎめした導体の層と、導体の間の隙間で測定した厚さが
前記パターンぎめした導体の厚さの50%乃至150%
であって、3.5未満の誘電率を持ち、少なくとも2つ
の前記パターンぎめした導体の間の空間の少なくとも5
0%に亘る有機含有誘電体層と、該有機含有誘電体層並
びに前記導体の露出している部分があれば該部分を覆う
無機誘電体層と、前記有機含有誘電体を実質的にエッチ
ングせずに前記無機誘電体を選択的にエッチングする方
法によって、前記無機誘電体層の中に形成された少なく
とも2つのバイアと、前記バイアを導電材料で埋めるこ
とによって形成された少なくとも2つの電気接続部とを
有し、該電気接続部は、前記パターンぎめした導体を、
前記無機誘電体層の上にデポジットされた第2レベルの
パターンぎめした導体に接続している半導体装置。
【0039】(19) 請求項18記載の半導体装置に
於て、前記パターンぎめした導体の層がポリシリコン層
であり、該ポリシリコン層は前記半導体装置に集積され
た電界効果トランジスタのゲートの上側極板をも形成し
ており、前記有機含有誘電体層が前記パターンぎめした
導体及び基板の上に同形にデポジットされている半導体
装置。
【0040】(20) 請求項19記載の半導体装置に
於て、前記バイアを用いて、前記半導体装置のソース・
ドレイン領域並びに前記ポリシリコン層に対する接点を
形成した半導体装置。
【0041】(21)接続層の上にある隣合った導体の
間の静電容量を減少し、バイアの整合外れ又は装置の不
均一な形状による過剰エッチングを減少し、良好な伝熱
特性を持つ頑丈な構造を維持する半導体装置及びその製
法を説明した。一実施例では、パターンぎめした導体1
8,44の間の水平方向の隙間が、有機含有誘電体材料
(例えばアライド・シグナル500シリーズ)22及び
54によって実質的に埋められる。二酸化珪素の様な材
料で、有機含有誘電体層22,54の上に夫々無機誘電
体層24,56が形成される。有機含有誘電体材料を目
立ってエッチングしない高密度プラズマ内のフロロカー
ボンの様なエッチング過程を用いて、無機誘電体層にバ
イアをエッチングする。
【図面の簡単な説明】
【図1】パターンぎめした導体の相互接続層、有機含有
誘電体層及び無機誘電体層、及び有機含有層がパターン
ぎめした導体を完全に覆っている場所での1つの導体に
対するバイアを製造する時の順次の工程を示す断面図。
【図2】有機含有層が、パターンぎめした導体の間の空
間を実質的に埋めているが、それらを覆っていない別の
実施例の断面図。
【図3】その下にある層に対して選択性であるエッチャ
ントによって、上側に重なる各々の層がエッチング可能
である様な3つの誘電体層を用いた更に別の実施例の断
面図。
【図4】有機含有誘電体層より前に適用され、パターン
ぎめした導体及びその下にある構造を覆う薄い不活性化
層を例示した別の実施例の断面図。
【図5】ポリシリコン及びフィールド酸化物の上に適用
された同形の有機含有層及び平面化した無機誘電体層を
例示する更に別の実施例の断面図で、高さが一層低い所
にあるポリシリコン・ゲート及びソース/ドレイン領域
に向って、無機誘電体層の中にバイアがエッチングされ
ている。
【図6】珪化物接点に対してバイアが開けられて、導電
材料で埋められ、パターンぎめした導体の第2の層に電
気接続された後の図5の実施例の断面図。
【図7】同じ半導体装置の上にある同形の有機含有層及
び平面化した有機含有層を含む実施例の断面図。
【符号の説明】
18 パターンぎめした導体 22 有機含有誘電体層 24 無機誘電体層 28 バイア

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 半導体装置上にある層の間の電気接続部
    に対するバイアをつくる方法に於て、基板の上にパター
    ンぎめした導体の層を形成し、該パターンぎめした少な
    くとも2つの導体の間の空間の少なくとも50%に亘る
    有機含有誘電体層を形成し、該有機含有層は、前記導体
    の間の隙間で測定して、前記パターンぎめした導体の厚
    さの50%乃至150%の厚さを持っており、前記有機
    含有層は3.5未満の誘電率を持ち、前記有機含有誘電
    体層並びに前記導体の内の露出している部分があれば該
    部分を覆う無機誘電体層をデポジットし、前記有機含有
    層に対して選択性を持つエッチを用いて前記無機誘電体
    の中にバイアをエッチングする工程を含み、この時前記
    有機含有誘電体層がエッチ・ストッパとして作用すると
    共に、マスクの整合外れ又は装置の不均一な形状による
    過剰エッチングを防止し、且つ二酸化シリコン誘電体に
    比べて、隣接した対の導体の間の静電容量が減少するよ
    うにした方法。
  2. 【請求項2】 基板の上に形成されたパターンぎめした
    導体の層と、導体の間の隙間で測定した厚さが前記パタ
    ーンぎめした導体の厚さの50%乃至150%であっ
    て、3.5未満の誘電率を持ち、少なくとも2つの前記
    パターンぎめした導体の間の空間の少なくとも50%に
    亘る有機含有誘電体層と、該有機含有誘電体層並びに前
    記導体の露出している部分があれば該部分を覆う無機誘
    電体層と、前記有機含有誘電体を実質的にエッチングせ
    ずに前記無機誘電体を選択的にエッチングする方法によ
    って、前記無機誘電体層の中に形成された少なくとも2
    つのバイアと、前記バイアを導電材料で埋めることによ
    って形成された少なくとも2つの電気接続部とを有し、
    該電気接続部は、前記パターンぎめした導体を、前記無
    機誘電体層の上にデポジットされた第2レベルのパター
    ンぎめした導体に接続している半導体装置。
JP7104296A 1994-04-28 1995-04-27 バイアをつくる方法 Pending JPH0851154A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US234100 1994-04-28
US08/234,100 US5565384A (en) 1994-04-28 1994-04-28 Self-aligned via using low permittivity dielectric

Publications (1)

Publication Number Publication Date
JPH0851154A true JPH0851154A (ja) 1996-02-20

Family

ID=22879931

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7104296A Pending JPH0851154A (ja) 1994-04-28 1995-04-27 バイアをつくる方法

Country Status (6)

Country Link
US (1) US5565384A (ja)
EP (1) EP0680084B1 (ja)
JP (1) JPH0851154A (ja)
KR (1) KR950034532A (ja)
DE (1) DE69528409T2 (ja)
TW (1) TW299484B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936226A (ja) * 1995-07-18 1997-02-07 Nec Corp 半導体装置およびその製造方法
JP2004506797A (ja) * 2000-08-21 2004-03-04 ダウ グローバル テクノロジーズ インコーポレイティド マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
JP2007027343A (ja) * 2005-07-15 2007-02-01 Toshiba Corp 半導体装置及びその製造方法

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278174B1 (en) * 1994-04-28 2001-08-21 Texas Instruments Incorporated Integrated circuit insulator and structure using low dielectric insulator material including HSQ and fluorinated oxide
US5488015A (en) * 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US6716769B1 (en) 1995-06-02 2004-04-06 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
US7294578B1 (en) * 1995-06-02 2007-11-13 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
TW439003B (en) * 1995-11-17 2001-06-07 Semiconductor Energy Lab Display device
TW391048B (en) * 1996-04-29 2000-05-21 Texas Instruments Inc Intergrated circuit insulator and method
US5854131A (en) * 1996-06-05 1998-12-29 Advanced Micro Devices, Inc. Integrated circuit having horizontally and vertically offset interconnect lines
KR100192589B1 (ko) * 1996-08-08 1999-06-15 윤종용 반도체 장치 및 그 제조방법
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
US6303488B1 (en) 1997-02-12 2001-10-16 Micron Technology, Inc. Semiconductor processing methods of forming openings to devices and substrates, exposing material from which photoresist cannot be substantially selectively removed
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6010957A (en) * 1997-06-25 2000-01-04 Advanced Micro Devices Semiconductor device having tapered conductive lines and fabrication thereof
GB2350931B (en) * 1997-06-27 2001-03-14 Nec Corp Method of manufacturing semiconductor device having multilayer wiring
JP3390329B2 (ja) * 1997-06-27 2003-03-24 日本電気株式会社 半導体装置およびその製造方法
US6048803A (en) * 1997-08-19 2000-04-11 Advanced Microdevices, Inc. Method of fabricating a semiconductor device having fluorine bearing oxide between conductive lines
US6875681B1 (en) * 1997-12-31 2005-04-05 Intel Corporation Wafer passivation structure and method of fabrication
US6143649A (en) * 1998-02-05 2000-11-07 Micron Technology, Inc. Method for making semiconductor devices having gradual slope contacts
KR100283028B1 (ko) * 1998-03-19 2001-03-02 윤종용 디램 셀 캐패시터의 제조 방법
EP1070346A1 (en) 1998-04-02 2001-01-24 Applied Materials, Inc. Method for etching low k dielectrics
US6287751B2 (en) * 1998-05-12 2001-09-11 United Microelectronics Corp. Method of fabricating contact window
US6175147B1 (en) * 1998-05-14 2001-01-16 Micron Technology Inc. Device isolation for semiconductor devices
JP3208376B2 (ja) * 1998-05-20 2001-09-10 株式会社半導体プロセス研究所 成膜方法及び半導体装置の製造方法
TW389988B (en) * 1998-05-22 2000-05-11 United Microelectronics Corp Method for forming metal interconnect in dielectric layer with low dielectric constant
US6492276B1 (en) 1998-05-29 2002-12-10 Taiwan Semiconductor Manufacturing Company Hard masking method for forming residue free oxygen containing plasma etched layer
US6007733A (en) * 1998-05-29 1999-12-28 Taiwan Semiconductor Manufacturing Company Hard masking method for forming oxygen containing plasma etchable layer
US6019906A (en) * 1998-05-29 2000-02-01 Taiwan Semiconductor Manufacturing Company Hard masking method for forming patterned oxygen containing plasma etchable layer
US6232235B1 (en) * 1998-06-03 2001-05-15 Motorola, Inc. Method of forming a semiconductor device
US6323118B1 (en) 1998-07-13 2001-11-27 Taiwan Semiconductor For Manufacturing Company Borderless dual damascene contact
US6440863B1 (en) * 1998-09-04 2002-08-27 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6174800B1 (en) 1998-09-08 2001-01-16 Taiwan Semiconductor Manufacturing Company Via formation in a poly(arylene ether) inter metal dielectric layer
US6187672B1 (en) * 1998-09-22 2001-02-13 Conexant Systems, Inc. Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US6245663B1 (en) * 1998-09-30 2001-06-12 Conexant Systems, Inc. IC interconnect structures and methods for making same
US6228758B1 (en) 1998-10-14 2001-05-08 Advanced Micro Devices, Inc. Method of making dual damascene conductive interconnections and integrated circuit device comprising same
US6165898A (en) * 1998-10-23 2000-12-26 Taiwan Semiconductor Manufacturing Company Dual damascene patterned conductor layer formation method without etch stop layer
US6004883A (en) * 1998-10-23 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene patterned conductor layer formation method without etch stop layer
US6265308B1 (en) 1998-11-30 2001-07-24 International Business Machines Corporation Slotted damascene lines for low resistive wiring lines for integrated circuit
US6495468B2 (en) 1998-12-22 2002-12-17 Micron Technology, Inc. Laser ablative removal of photoresist
US6417090B1 (en) * 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6255232B1 (en) 1999-02-11 2001-07-03 Taiwan Semiconductor Manufacturing Company Method for forming low dielectric constant spin-on-polymer (SOP) dielectric layer
US6114253A (en) * 1999-03-15 2000-09-05 Taiwan Semiconductor Manufacturing Company Via patterning for poly(arylene ether) used as an inter-metal dielectric
US6211063B1 (en) 1999-05-25 2001-04-03 Taiwan Semiconductor Manufacturing Company Method to fabricate self-aligned dual damascene structures
US20030205815A1 (en) * 1999-06-09 2003-11-06 Henry Chung Fabrication method of integrated circuits with borderless vias and low dielectric constant inter-metal dielectrics
JP2001007202A (ja) * 1999-06-22 2001-01-12 Sony Corp 半導体装置の製造方法
US6498399B2 (en) * 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
JP3430091B2 (ja) * 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6531389B1 (en) 1999-12-20 2003-03-11 Taiwan Semiconductor Manufacturing Company Method for forming incompletely landed via with attenuated contact resistance
US6432833B1 (en) 1999-12-20 2002-08-13 Micron Technology, Inc. Method of forming a self aligned contact opening
AU2761301A (en) 2000-01-03 2001-07-16 Micron Technology, Inc. Method of forming a self-aligned contact opening
US6348706B1 (en) * 2000-03-20 2002-02-19 Micron Technology, Inc. Method to form etch and/or CMP stop layers
JP4149644B2 (ja) * 2000-08-11 2008-09-10 株式会社東芝 不揮発性半導体記憶装置
US6617239B1 (en) * 2000-08-31 2003-09-09 Micron Technology, Inc. Subtractive metallization structure and method of making
US7172960B2 (en) * 2000-12-27 2007-02-06 Intel Corporation Multi-layer film stack for extinction of substrate reflections during patterning
US6803314B2 (en) * 2001-04-30 2004-10-12 Chartered Semiconductor Manufacturing Ltd. Double-layered low dielectric constant dielectric dual damascene method
US6989108B2 (en) 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US20030096090A1 (en) * 2001-10-22 2003-05-22 Boisvert Ronald Paul Etch-stop resins
KR100704469B1 (ko) * 2001-12-14 2007-04-09 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2004071705A (ja) * 2002-08-02 2004-03-04 Fujitsu Ltd 半導体装置及び半導体装置の製造方法
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
KR100480636B1 (ko) * 2002-11-22 2005-03-31 삼성전자주식회사 반도체 장치의 제조방법
US7026650B2 (en) 2003-01-15 2006-04-11 Cree, Inc. Multiple floating guard ring edge termination for silicon carbide devices
US9515135B2 (en) * 2003-01-15 2016-12-06 Cree, Inc. Edge termination structures for silicon carbide devices
US7109092B2 (en) 2003-05-19 2006-09-19 Ziptronix, Inc. Method of room temperature covalent bonding
US7183187B2 (en) * 2004-05-20 2007-02-27 Texas Instruments Incorporated Integration scheme for using silicided dual work function metal gates
US7235489B2 (en) * 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
KR100685735B1 (ko) * 2005-08-11 2007-02-26 삼성전자주식회사 폴리실리콘 제거용 조성물, 이를 이용한 폴리실리콘 제거방법 및 반도체 장치의 제조 방법
EP3217425B1 (en) 2016-03-07 2021-09-15 IMEC vzw Self-aligned interconnects and corresponding method

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3345C (de) * HÜSMERT & CO. in Wald bei Solingen Bügelverschlufs an Handtaschen etc
US3985597A (en) * 1975-05-01 1976-10-12 International Business Machines Corporation Process for forming passivated metal interconnection system with a planar surface
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4576900A (en) * 1981-10-09 1986-03-18 Amdahl Corporation Integrated circuit multilevel interconnect system and method
US4432035A (en) * 1982-06-11 1984-02-14 International Business Machines Corp. Method of making high dielectric constant insulators and capacitors using same
DE3234907A1 (de) * 1982-09-21 1984-03-22 Siemens AG, 1000 Berlin und 8000 München Verfahren zum herstellen einer monolithisch integrierten schaltung
DE3345040A1 (de) * 1983-12-13 1985-06-13 Siemens AG, 1000 Berlin und 8000 München Verfahren zur herstellung einer eingeebneten, die zwei metallisierungen trennenden anorganischen isolationsschicht unter verwendung von polyimid
US4683024A (en) * 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
JPH0715938B2 (ja) * 1985-05-23 1995-02-22 日本電信電話株式会社 半導体装置およびその製造方法
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
JPH0612790B2 (ja) * 1987-02-24 1994-02-16 日本電気株式会社 半導体装置
JPS63276246A (ja) * 1987-05-08 1988-11-14 Nec Corp 半導体装置
EP0296707A1 (en) * 1987-06-12 1988-12-28 Hewlett-Packard Company Incorporation of dielectric layers in a semiconductor
US5110712A (en) * 1987-06-12 1992-05-05 Hewlett-Packard Company Incorporation of dielectric layers in a semiconductor
JPH01235254A (ja) * 1988-03-15 1989-09-20 Nec Corp 半導体装置及びその製造方法
US5141817A (en) * 1989-06-13 1992-08-25 International Business Machines Corporation Dielectric structures having embedded gap filling RIE etch stop polymeric materials of high thermal stability
JP2556146B2 (ja) * 1989-09-19 1996-11-20 日本電気株式会社 多層配線
US5198298A (en) * 1989-10-24 1993-03-30 Advanced Micro Devices, Inc. Etch stop layer using polymers
US5143820A (en) * 1989-10-31 1992-09-01 International Business Machines Corporation Method for fabricating high circuit density, self-aligned metal linens to contact windows
JPH04174541A (ja) * 1990-03-28 1992-06-22 Nec Corp 半導体集積回路及びその製造方法
JPH04127454A (ja) * 1990-09-18 1992-04-28 Nec Corp 半導体装置
JPH04311059A (ja) * 1991-04-09 1992-11-02 Oki Electric Ind Co Ltd 配線容量の低減方法
US5246883A (en) * 1992-02-06 1993-09-21 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure and method
US5371047A (en) * 1992-10-30 1994-12-06 International Business Machines Corporation Chip interconnection having a breathable etch stop layer
US5393712A (en) * 1993-06-28 1995-02-28 Lsi Logic Corporation Process for forming low dielectric constant insulation layer on integrated circuit structure

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0936226A (ja) * 1995-07-18 1997-02-07 Nec Corp 半導体装置およびその製造方法
JP2004506797A (ja) * 2000-08-21 2004-03-04 ダウ グローバル テクノロジーズ インコーポレイティド マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂
JP2007027343A (ja) * 2005-07-15 2007-02-01 Toshiba Corp 半導体装置及びその製造方法

Also Published As

Publication number Publication date
EP0680084B1 (en) 2002-10-02
TW299484B (ja) 1997-03-01
DE69528409T2 (de) 2003-08-21
EP0680084A1 (en) 1995-11-02
US5565384A (en) 1996-10-15
DE69528409D1 (de) 2002-11-07
KR950034532A (ja) 1995-12-28

Similar Documents

Publication Publication Date Title
JPH0851154A (ja) バイアをつくる方法
US6509623B2 (en) Microelectronic air-gap structures and methods of forming the same
US5981380A (en) Method of forming a local interconnect including selectively etched conductive layers and recess formation
US8461692B2 (en) Semiconductor device structures including damascene trenches with conductive structures and related method
JPH08102449A (ja) 有機含有誘電率材料を用いた自己整合コンタクト
JPH1092925A (ja) 半導体構成要素および製造方法
EP1532679A2 (en) Self-aligned contacts to gates
KR100215847B1 (ko) 반도체 장치의 금속 배선 및 그의 형성 방법
US5523624A (en) Integrated circuit device structure with dielectric and metal stacked plug in contact hole
US6348734B1 (en) Self-aligned copper interconnect architecture with enhanced copper diffusion barrier
JPH11126820A (ja) 半導体装置とその製造方法
US5856707A (en) Vias and contact plugs with an aspect ratio lower than the aspect ratio of the structure in which they are formed
US5880030A (en) Unlanded via structure and method for making same
US6211569B1 (en) Interconnection lines for improving thermal conductivity in integrated circuits and method for fabricating the same
KR100294755B1 (ko) 반도체장치및그제조방법
US6319820B1 (en) Fabrication method for dual damascene structure
JP3525788B2 (ja) 半導体装置の製造方法
JP4717972B2 (ja) 集積回路の製造方法
US5536682A (en) Method for manufacturing a semiconductor device
JPH07254607A (ja) 集積回路及びその製造方法
CN112838048A (zh) 互连结构以及其制作方法
JPH11186274A (ja) デュアル・ダマスク技術
US6563221B1 (en) Connection structures for integrated circuits and processes for their formation
US11942424B2 (en) Via patterning for integrated circuits
JP3065003B2 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040929

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041001

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050107

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050531