JPH079903B2 - 誘電体被膜の形成方法および半導体素子 - Google Patents

誘電体被膜の形成方法および半導体素子

Info

Publication number
JPH079903B2
JPH079903B2 JP60007309A JP730985A JPH079903B2 JP H079903 B2 JPH079903 B2 JP H079903B2 JP 60007309 A JP60007309 A JP 60007309A JP 730985 A JP730985 A JP 730985A JP H079903 B2 JPH079903 B2 JP H079903B2
Authority
JP
Japan
Prior art keywords
reaction
forming
oxygen
asg
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP60007309A
Other languages
English (en)
Other versions
JPS60246642A (ja
Inventor
ガレス・ウエイン・バークレイ・アシユウエル
Original Assignee
ブリテイシユ・テレコミユニケ−シヨンズ・パブリツク・リミテツド・カンパニ
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブリテイシユ・テレコミユニケ−シヨンズ・パブリツク・リミテツド・カンパニ filed Critical ブリテイシユ・テレコミユニケ−シヨンズ・パブリツク・リミテツド・カンパニ
Publication of JPS60246642A publication Critical patent/JPS60246642A/ja
Publication of JPH079903B2 publication Critical patent/JPH079903B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Element Separation (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は半導体素子およびその製造方法に関する。特
に、半導体素子の多結晶シリコンや金属のゲートや配線
の絶縁や、素子の保護に用いられる誘電体に関する。
〔概要〕
本発明は、シラン(水素化シリコン)と酸素とを化学気
相成長により反応させて半導体ウェハ上に二酸化シリコ
ンを主成分とする被膜を形成する誘電体被膜の形成方法
において、 シランと酸素との反応を不均一反応にすることにより、 低い温度で反応を維持し、下地の形状どおりの被膜を形
成するものである。
この方法を半導体素子の製造方法に適用し、良好な半導
体ウェハおよび半導体素子を提供するものである。
〔従来の技術〕
第2図は典型的な集積回路の断面図を示す。
集積回路やその他の半導体素子の製造において、種々の
導電体層の間に誘電体層を設ける必要がある。第2図に
示したように、典型的な集積回路には、数層の誘電体層
が設けられている。シリコン基板1の表面には、酸化に
より二酸化シリコン層2が形成される。この二酸化シリ
コン層の上には、多結晶シリコン3のゲート電極が形成
されている。誘電体層4は、電極窓9、9′の部分以外
で多結晶シリコン3とその上の層とを絶縁する。誘電体
層4の電極窓9、9′の部分は、この誘電体層4の上に
堆積される金属層5と多結晶シリコン3とを電気的に接
続するために取り除かれている。金属配線のパターンに
よっては、第二の誘電体層が最も上の層になる場合もあ
り、電極窓9″を通して、金属層5と誘電体層6の上に
堆積された金属層7とを接続する場合もある。このよう
な電極窓9″を通常「ビア」という。最も上の金属層7
の上には、さらに、最後の誘電体層8が堆積される。こ
の誘電体層8は、水や他の汚染の侵入を防ぎ、さらに表
面を物理的に保護する。
ここで、誘電体層、特に誘電体層4および誘電体層6の
材質を問題とする。誘電体層4の材料には、通常は、リ
ンをドープした二酸化シリコンガラス(以下「PSG」と
する)の薄膜を用いるが、ドープされていない二酸化シ
リコンガラス(以下「USG」とする)薄膜を用いること
もある。ガラス薄膜は、通常は化学気相成長(以下「CV
D」とする)により生成され、マイクロエレクトロニク
スの工業分野において、異なる層の配線の間に誘電体層
を設けるために広く用いられている。
〔発明が解決しようとする問題点〕
しかし、多くのガラス堆積工程では、十分なステップカ
バリッジを得ることができない欠点がある。すなわち、
ゲート電極や配線等の垂直方向の形状に沿う十分な厚さ
の被膜を形成することができない。ステップカバリッジ
の問題は、 「The step coverage of undoped and phosphorous-dop
ed SiO2 glass films」 R.M.Levin,K.Evans Lutterodt、 J.Vac.Sol.Technol.BI(1),Jan-Mar 1983p.54 に説明されている。
CVDによるガラス堆積工程は、基本的にシラン(SiH4
と酸素との反応として、 SiH4+202→SiO2+2H2O で示される。
これは均一気相反応であり、生成物は気相で形成され、
その後に近くの表面に凝縮される。形状がステップ状に
なっている部分では、ステップの側部が上部により隠さ
れる傾向があり、生成物はステップの横方向より上方向
に急速に成長する。ステップの上部の角から横方向へ成
長によりその下の領域が覆われ、さらにオーバーハング
の状態が促進される。この層の上に金属層を堆積する場
合には、金属層はオーバーハングの下で不連続になるこ
とがある。
反応物にホスフィン(PH3)気体を添加した場合には、
不十分なステップカバリッジの問題は軽減される。ホス
フィンは堆積メカニズムを変化させないが、二酸化シリ
コン層に数パーセントの五酸化リンを添加することにな
り、PSGを形成する。PSGはUSGに比較して、 (i)半導体製造工程の中では比較的低温で溶解し、約
1000℃に加熱すると、ガラスが流れ、表面張力によりオ
ーバーハングが平坦化され、滑らかなカバリッジを再形
成することができ、 (ii)薄膜内の真性応力が少なく、 (iii)リン原子が他のアルカリイオンのゲッタとな
り、アルカリイオンが薄膜を透過することを防ぐことが
できる。
などの利点がある。PSGの最大の欠点は、リン酸がガラ
ス層から浸出して金属層を腐蝕させることがあることで
ある。
しかし、PSGは第2図に示した第二の誘電体層6に用い
るには適していない。金属層5には通常はアルミニュー
ムが用いられ、第2図に示すように、電極窓9、9'でシ
リコン原子と接触している。部分的な温度が約570℃を
越えると、アルミニュームとシリコンとの結晶(融点57
7℃)が形成され、このために素子が破壊される。この
ような現象は、堆積温度およびそれに続く工程の温度
を、誘電体層6、8が570℃以下になるように制限す
る。しかし、いくつかの素子では、約500℃以下の温度
でもアルミニュームとシリコンとの固体状態の反応が発
生するので、素子の温度は約500℃以下に保つことが望
ましい。この温度以下で実施できる工程としては、 (a) 二酸化シリコンまたは窒化シリコンのプラズマCV
D、 (b) ポリイミド樹脂の堆積 しかない。プラズマCVDは比較的高価であり、またプラ
ズマCVDを用いて作られた素子の特性が不安定である欠
点もある。ポリイミドは比較的安価で使用が簡単であ
り、よく用いられている。したがって、誘電体層6およ
び8には通常はポリイミドが用いられる。しかし、信頼
性およびポリイミドの製造の問題から、まだ一般的に用
いられるに至っていない。
満足できる表面を得るために堆積の後の熱処理を行う必
要なしに下地と同じ形状の二酸化シリコン被膜を形成す
るために、別な化学反応を利用する例もある。均一気相
反応を抑制した場合には下地に沿った形状の被膜を形成
できると予想され、 SiHCl+2NO→SiO+揮発物 SiH+4NO→SiO+2N+2HO の二つの反応により二酸化シリコンを形成する。
これらの二つの反応により下地に沿った形状の被膜を形
成することができるが、650ないし950℃の高い温度範囲
でのみ安定した反応を続けることができる。この温度
は、必要なエネルギの幾分かを気相プラズマで導入する
ことにより、少し下げることができるが、適当なプラズ
マを生成する装置は非常に高価であり、しかも、このよ
うな方法により製造された素子には、電気的な不安定さ
が少し生じる欠点がある。
すでに説明したように、下地に沿った形状の誘電体被膜
を形成する方法は、550℃以下、望ましくは500℃以下の
温度で実行する必要があり、この場合にはプラズマ装置
を用いる必要がない。
シランおよび酸素の混合物の気相反応を抑制する方法
は、それ自体は新しいものではない。この問題について
は、最初にエメレウスとスチュワートにより研究され、 「The oxidation of silicon hydrides」 H.J.Emeleus and K.Stewert、 J.Chem.Soc.,p.1182(1935) に述べられている。この論文で彼らは気相動力学の研究
を報告し、この中で、いくつかの物質が気相反応を抑制
することを発見した。この物質の研究では、エチレンが
最も効果的であった。
ミドルホーク(Middlehoek)とクリンクハマー(Klinkh
amer)は、エメレウスとスチュワートによって得られた
結果を用いて、エチレンによる均質反応の抑制を試み
た。これについては、 fifth international conference of the Electrochemical Society,1975,pp.19-29に述べて
いる。この論文では、彼らはエチレンが均質気相反応の
抑制物質として有用であることを示した。
しかし、さらに最近の論文、 RCA Review,Vol.37,No.1,March 1976,pp.3-54 では、カーン(Kern)、シュナブル(Schnable)、そし
てフィッシャ(Fisher)が、酸素とシランとの比が非常
に小さい値のときにだけ、エチレンが均一反応の選択的
抑制に効果的であることを報告している。この比では、
通常の薄膜堆積に使用することはできない。ミドルホー
クとカーンに私信で確認したところによると、彼らの実
験では、薄膜堆積に適した酸素とシランとの比では、エ
チレンを選択抑制剤として用いることができないことが
示された。
カーンらの論文から、均一反応の選択的抑制は、USGお
よびPSG層の質を改善することが必要であることが明ら
かであるが、そのような抑制物質は提供されていない。
本発明は、シランと酸素との均一反応を抑制する物質を
提供し、さらに、低い温度で半導体ウェハ上に下地に沿
った形状の誘電体被膜を形成する方法を提供することを
目的とする。
〔問題点を解決するための手段〕
本発明の第一の発明は、シラン、酸素およびアルシンを
含む混合気体の反応を化学気相成長工程で実行させ、プ
ラズマの援助を伴うことなくアーセノシリケートガラス
の被膜を形成する誘電体被膜の形成方法において、反応
は希釈ガスの存在下で実行し、反応の条件は、その反応
の生成物であるアーセノシリケートガラスが下地の形状
に沿って形成されるように、かつ反応が不均一反応が優
勢であるように選ばれ、シランとアルシンとの体積比を
3.8:1ないし11.7:1に設定し、酸素とシランとの体積比1
8.5:1ないし106:1に設定することを特徴とする。
酸素とシランとの体積比は18.5ないし41:1であることが
望ましい。本発明の第二の発明は、反応を希釈ガスの存
在下で実行し、反応の条件は、その反応の生成物である
アーセノシリケートガラスが下地の形状に沿って形成さ
れるように、かつ反応が不均一反応が優勢であるように
選ばれ、反応は500℃以下で実行されることを特徴とす
る。
反応は400℃から450℃の間で実行されることが望まし
い。
本発明の第三の発明は以上の方法により形成されたアー
セノシリケートガラスの被膜を備えた半導体素子であ
り、被膜の少なくとも一部は、シラン、酸素およびアル
シンを含む混合気体の反応を化学気相成長工程で実行さ
れ、プラズマの援助を伴うことなく形成され、反応は希
釈ガスの存在下で実行され、反応の条件は、その反応の
生成物であるアーセノシリケートガラスが下地の形状に
沿って形成されるように、かつ反応が不均一反応が優勢
であるように選ばれたことを特徴とする。
我々は、アルシンがシランと酸素との反応に対してエチ
レンよりはるかに有効に働くことを発見し、下地と同じ
形状の被膜として、二酸化シリコンをベースとしたアー
セノシリケートガラスを形成することを可能にした。
アーセノシリケートガラスは、半導体素子の製造分野で
はあまり用いられていない。用いられた例としても、基
本的に不純物源として用いられるに限られている。この
ような使用例の典型としては、NCRコーポレーション社
によるPCT特許出願第WO80/01380号、 「Process for forming a polysilicon gate integrate
d circuit device」 に公知である。NCRコーポレーション社の出願では、ア
ルコールに砒素を添加したポリマの溶液を素子の上に塗
布し、これをスピンコーティングにより均一な層に形成
し、この後にこの素子をベークして溶媒を除去する。こ
れによりASG層が形成される。ASG層を光描画により形成
したマスクで覆い、マスクで覆われていない部分をエッ
チングにより除去し、これをp形の不純分をドープする
ためのマスクとして用いる。同時に、ASGのマスクはn
形原子のドーピング源として働く。
砒素イオンは、高温度ベーキング工程の間に、ASG層
(マスク)からその下のシリコンおよび多結晶シリコン
に拡散し、そこにn+領域が形成される。この後に、ASG
層(マスク)をフッ化水素でエッチングして完全に取り
除く。酸化層、ビア、金属層、およびパッシベーション
層を形成する技術は公知であり、ここでは説明しない。
NCRコーポレーション社の出願は、ASG層をマスクおよび
ドーパント源として用い、素子の製造が完了した後に取
り除いている。本発明はこれと異なり、本発明が解決し
ようとする問題点とは大きく異なっている。
ASGをドーパント源として使用する以外には、タッシュ
(Tasch)らが出願したアメリカ合衆国特許第4,355,454
号に開示され、砒素を添加したガラスを、多結晶シリコ
ンゲートおよび配線の上の絶縁層とし使用する詳細につ
いて記載している。シラン、酸素およびアルシンを用い
て、500℃でASGを堆積させる。砒素をドープした層が堆
積された後に、850ないし900℃で加熱してリフローを行
い、表面をなだらかな形状にする。多結晶シリコンによ
り多層配線された素子では、多結晶シリコンの配線が一
層だけの素子の場合と同様に、最後に堆積された多結晶
シリコンの上の層だけしかASG層を堆積させることがで
きない。
タッシュらは、アルシンがシランと酸素との反応を制御
し、ASGを下地に沿った形状の被膜を形成するために用
いることができることを認識していないことが明らかで
ある。タッシュらが製造したときにはその特性について
述べているが、参照される反応形はどこにもなく、下地
に沿った形状かどうかについても述べていない。
〔作用〕
本発明誘電体被膜の形成方法では、シランと酸素との反
応を均一気相により行うことを抑制して不均一表面反応
が起こるように制御することにより、二酸化シリコンを
主成分とした被膜を下地のとおりに形成でき、プラズマ
を用いることなしに500℃以下の温度で反応を持続する
ことができる。
本発明の方法では、ASGのリフローのために加熱する
が、これは、下地どおりの形状にするために必要であ
る。リフローは850ないし900℃で熱することを含んでい
るが、このような工程は、金属層の上に砒素をドープし
たガラスを堆積するには用いられない。
〔実施例〕
本発明を図面を参照してさらに詳細に説明する。
すでに説明したように、第2図は典型的な多層配線集積
回路の断面図である。従来の素子では、誘電体層4、6
および8をPSG、ポリイミドおよびポリイミドで形成す
るか、誘電体層4をPSGで形成し、誘電体層6および8
はプラズマ堆積された二酸化シリコンまたは窒化シリコ
ンで形成していた。本発明では、全ての誘電体層4、6
および8のどれかまたは全てがASGで形成される。少な
くとも第一の誘電体層4おび第二の誘電体層6をASGで
形成することが望ましい。
ASGは、市販のCVD装置で製造することができる。シラン
と酸素とを反応させて二酸化シリコンを形成するCVD装
置、例えばテンプレスユニコープ(Tempress-Unicorp)
社製PYROX反応装置は、ASGの堆積を実施するに特に適し
ているが、他のCVD装置でも本発明を実施できる。以下
の説明では、PYROX反応装置を用いると仮定し、この装
置を第1図に示す。
第1図は本発明を実施するためのCVD装置の一例を示す
図である。
PYROX反応装置はウェハをバッチ処理する構成であり、
反応ヘッド100は水パイプ102を流れる水により冷却され
る。反応ヘッド100内には、回転可能な円形のテーブル1
01が設けられ、その上にはウェハ103が取り付けられ
る。テーブル101は、グラファイト製のウェハキャリア1
04を保持し、工程中は下側から加熱される。テーブル10
1の温度およびウェハ103の温度は、熱電対98によって測
定される。ウェハ103は3インチウェハを用いる。ウェ
ハ103は、シリコンカーバイドで被膜されたグラファイ
ト製のサセプタ99に保持される。サセプタ99は、ウェハ
キャリア104上の二つの同心円上に並べられ、内側に6
個、外側に12個が配置される。
反応ヘッド100は四つの同心円の領域、すなわち内側か
ら領域A、B、CおよびDに分割される。それぞれの領
域の気体の流れを、反応ヘッド100内の状態により調節
することができる。流量制御バルブ105、106および107
により、それぞれ領域A、BおよびCの流量を調整する
ことができる。それぞれの流域の流量は、圧力計108、1
09および110で計測する。領域Dの流量を独立して制御
することはできない。反応ヘッド100に供給される気体
の成分は、6個の流路のそれぞれの流量制御バルブ111
ないし116により調整できる。それぞれの流路の流速
は、それぞれフロート123ないし128を備えたロタメータ
117ないし122で監視できる。第1図には6本の流路を示
したが、本実施例では5本の流路だけが必要であり、そ
れぞれの流路に流す気体、ロタメータのポータの型名お
よびフロートの材質を第1表に示す。
本実施例では、USGまたはPSGを堆積させる工程中には、
それぞれの領域の圧力を、 領域A…13p.s.i.、 領域B…11p.s.i.、 領域C…12p.s.i.、 領域D…直接には測定できない となるように保った。
第3図は、ウェハ103の温度に対するASGの堆積速度を示
す。シランとアルシンとの比は61対14である。ウェハ10
3の温度は400ないし450℃の間に保った。第3図には、1
9、29、75、110および130cm3/分の五つの異なる水素化
物の総流速に対する、温度の薄膜堆積速度への影響を示
す。酸素の流速を2500cm3/分の一定値に保ち、主窒素
および希釈窒素の流速をそれぞれ38/分に保った。
第4図は、シラン、アラシンおよび酸素の混合気体で得
られる温度対堆積速度の曲線と、シランと酸素の混合気
体で得られる曲線との例を示す。これらの二つの曲線を
比較することは有意義である。シラン、アラシンおよび
酸素により得られる曲線は、シランとアラシンとの比が
61対14で水素化物の総流量が75cm3の場合の例であり、
不均一反応として特徴付けられる二つの領域を示してい
る。低温度の領域は動力学制御領域である。この領域で
は、堆積速度がアレニウス(Arrhenius)の速度方程
式、 D=A・exp(−ΔE/RT) に従う。すなわち、温度の上昇に伴って指数関数的に堆
積速度が増加する。ここで、Aはアイリング(Eyring)
の速度方程式に伴う温度変数である。第二の領域は拡散
制限領域である。堆積速度は、表面の形状に従う表面付
近の非常に薄い空乏層を通る反応物の拡散速度によって
制限される。これに比べて、シランおよび酸素の系の堆
積速度は温度依存性が非常に小さい。第4図に示した例
では、1℃あたり9Åと一定でありアラシン、シランお
よび酸素の1℃あたり29ないし63Åに比較して小さい。
また、拡散の制限は認められず、均一反応であることを
示す。不均一反応の利点は、堆積速度が表面温度により
制御可能であり、表面の形状に影響されないことであ
る。したがって、このような反応により、下地に沿った
形状の酸化物被膜が得られると予想できる。
第5図は、ASG被膜の断面の走査電子顕微鏡写真を示
す。この図は、本方法により1μmの厚さのアルミニュ
ーム配線51上にASGを堆積させたときの、ほぼ垂直な側
壁52近傍の下地どおりの被膜50を示す。第6図はシラン
および酸素を反応させて得られたUSG被膜を示す。第6
図には、典型的な下地に沿っていない形状の被膜60が、
シランおよび酸素の均一反応により形成されている。均
一反応の結果は、アルミニューム配線51の側部における
オーバハング61、62として現れる。このようなオーバハ
ングは、均一反応の特徴であり、下地と異なる形状で堆
積することによって生じる。
第5図に示したASGの下地に沿った形状の被膜を得るた
めの設定値を第2表に示す。第2表における「指示値」
とは、ロタメータのフロートの指示値である。
これらは、純粋なシランの61mm3/分、純粋なアルシン
の14mm3/分、純粋な酸素の2500mm3/分の流量に相当す
る。
各領域の圧力は、領域Aが13p.s.i.、領域Bが11p.s.
i.、領域Cが12p.s.i.である。基板温度は450℃であ
る。18個のウェハプレートの外側の列に3インチ基板を
取り付けた。ASGの堆積速度は毎分575Åであった。この
状態で堆積されたガラスは、5×108ダインcm-2の引張
り真性応力があった。ガラスは、12モルパーセントのAs
2O3と、88モルハーセントのSiO2とを含んでいた。
満足できる下地に沿った形状の被膜は、シランとアルシ
ンでの比が約3.8:1ないし11.7:1の間で形成される。シ
ラン流量が毎分60mm3で、アルシン流量が毎分10mm3のと
きの温度に対する堆積速度曲線を第7図に示す。ここ
で、酸素、主窒素、希釈窒素の流量は、それぞれ毎分25
00mm3、38、38である。
一般的には酸素およびまたはシランの濃度を増加させる
と均一反応が優勢になり、アルシンの濃度を増加させる
と不均一反応が優勢になることが判明している。
第3表に、いくつかの反応気体混合物の成分に対する反
応の型を示す。
不均一反応状態で形成されたガラス中のAs2O3成分は、
例Aのときには400℃で6%、450℃で4%であり、例B
のときには400℃で3%、450℃で2%であった。
通常は、第一の誘電体層4を形成した後、電極窓9、
9″を形成する前に、ナトリウム等の好ましくないイオ
ンをトラップするためのゲッタリング工程を行う。ゲッ
タリングを実施しない場合には、ナトリウム等の好まし
くないイオンにより、素子の性能および信頼性に影響が
生じる。第一の誘電体層4がPSGのときには、ゲッタリ
ング工程は、ホスホラスオキシクロライド(POCl3)お
よび酸素の雰囲気中で素子を加熱し、基板の全表面上に
厚さ約400Åの五酸化リン(P2O5)層を形成する工程を
含む。基板を約1000℃に加熱すると、五酸化リン層はド
ープ源として働き、基板の裏面にリン原子を多量にドー
プすることができる。基板の表の面は、PSGおよび二酸
化シリコン(SiO2)によって保護される。多量にドープ
したことにより、シリコン結晶に格子欠陥が生じ、この
格子欠陥がトラップとなって、この温度で動くことので
きる好ましくないイオンを捕獲する。基板が冷却される
と、好ましくないイオンはトラップに捕獲されたままと
なる。トラップは基板の裏面に形成されるため、動作領
域が形成される基板の前面から好ましくないイオンが除
去される。五酸化リン層は、電極窓を形成した後に希釈
したフッ化水素(HF)中でエッチングにより除去され
る。
このゲッタリング工程は素子製造において基本的な工程
であるので、第一の誘電体層は裏面のゲッタリング工程
に耐えるものでなければならない。本発明により形成さ
れたASG層は、ゲッタリング工程で加えられる温度を繰
り返して印加しても最初の形状が変化せず、熱安定性が
十分である。
裏面ゲッタリング工程に伴う効果として、ゲッタリング
の後のエッチング工程で、ASGはより滑らかな形状にな
る傾向がある。この効果を「スムージング効果」という
ことにする。スムージング効果による結果は、熱による
PSGやASGのリフローとして観測される効果と同等であ
る。ASGは、約7ないし10%以上の酸化砒素(AS2O3)を
含む場合に、熱によりリフローを起こすことができる。
下地に沿った形状被膜はオーバハングがないが、階段状
の部分の断面形状が急峻であるため、金属を膜付けする
場合等に困難が生じる。したがって、スムージング効果
は有用である。ただし、下地に沿っていない形状の場合
やリフローを行わない場合に比較して、上述の困難は小
さいものである。「リフロー」により、通常はステップ
状の側部形状がなくなり、ステップの角度が小さくな
る。したがって、金属を堆積する工程およびそれに続く
工程が容易になる。
エッチングの間のスムージング効果は、次のメカニズム
により生じると考えられる。すなわち、ウェハをPOCl3
雰囲気中で加熱すると、リンが五酸化リンとして誘電体
層に拡散し、その拡散量はその位置の形状に依存する。
ステップの上の角のようなウェハの表面が凸状の場所で
は、誘電体は非常に大きな表面積対堆積比をもち、拡散
するリン原子の径路は集束する傾向がある。これに対し
て、ステップの下の部分のようなウェハが凹状の場所で
は、誘電体の表面積対堆積比は小さく、拡散するリン原
子の拡散路は散開している。この結果、ウェハの表面が
凸状の部分では誘電体のリン濃度が高く凹状の部分では
リン濃度が低い。ASGのエッチ速度は五酸化リン濃度が
増加するにつれて増加し、PSGのエッチ速度はAs2O3濃度
が増加するにつれて増加するので、凸状の部分は凹状の
部分に比べて速くエッチングされ、形状が滑らかにされ
る。
さらに、ASGの誘電体を用いた場合に裏面ゲッタリング
を行うことにより、ASG層の表面領域に砒素成分が無い
部分(空乏領域)が生じる。
上述の方法により形成されたASG層は、比較的低い温度
で下地どおりの被膜を形成できる他にも利点がある。特
に、ASGのエッチ特性はPSGに比較して優れている。
PSGは、集積回路の多結晶シリコンゲートおよび電極上
に第一の誘電体層、すなわち、第2図の多結晶シリコン
3のゲートの上に誘電体層4を形成するために、しばし
ば用いられる。誘電体層4および多結晶シリコン3のゲ
ートの下には、シリコン基板1の表面を酸化して形成さ
れた二酸化シリコン層2である。下のシリコン基板に接
続するために、第2図の電極窓9'のような電極窓を形成
する必要があるときには、PSGと二酸化シリコンとの双
方をエッチングする必要がある。しかし、PSGのエッチ
速度は二酸化シリコンのエッチ速度に比較して非常に速
く、このためPSG層に窓のアンダカットが生じる問題が
ある。
このようすを第8図に示す。第8図(a)はエッチング開
始時のようすを示し、PSGの誘電体層4の上にマスク21
が堆積され、このマスク21には電極窓を形成する位置に
穴20が設けられている。PSGがエッチングされるにつれ
て、電極窓22が縦および横に成長する。第8図(b)に
は、SiO2層のエッチングが水平に進む様子を示す。電極
窓22が横方向に広がり過ぎて開口の非常に大きな穴が形
成され、電極窓22の上端23、23′が穴20の端を越えてマ
スク内に伸びている。第8図(c)はSiO2がエッチングさ
れた後の状態を示す。この状態では、PSG層の横方向の
エッチングが進み過ぎている。このような電極窓は、配
線や層間接続に広い面積を必要とし、集積度の高い集積
回路を製造する場合には不適当である。第8図(d)およ
び(e)は、実際に利用されている電極窓の形成方法を示
す。この方法では、二つのエンチング工程により電極窓
を形成している。第一のエッチング工程では、第8図
(b)の段階までエッチングを行う。すなわち、PSGにビア
孔を形成する。ここで第一のエッチング工程を終了し、
第一のマスクを除去し、第8図(d)に示すように第二の
マスク25をPSG上に付ける。この第二のマスク25はビア
孔の側部も覆い、第二のエッチング工程からPSGを保護
する。
第二のマスクを付けた後に、第二のエッチング工程が実
行される。これにより得られる電極窓を第8図(e)に示
す。この電極窓は、理想的ではないが、第8図(c)に比
べて横方向の広がりが小さく、最近の高密度回路に利用
されている。
このようにして得られた電極窓は、基部の直径が高々約
4.5ないし5μmであり、配線の線幅が3μmのときに
は利用することができる。しかし、電極窓をさらに小さ
く形成することが切望されていた。また、マスク−エッ
チング−マスク−エッチングという煩わしい工程を行わ
ずに電極窓を形成する方法が切望されてた。
第9図はASGにビア孔を形成する方法を示す図である。
第9図(a)は、PSG層4に替えてASG層44が設けられた第
8図(a)と同じ素子を示す。ASG(少なくとも本発明によ
り堆積されたASG)のエッチング速度は、二酸化シリコ
ン層2のエッチング速度とはほぼ等しい。したがって、
一度だけのエッチング工程により、問題となるようなア
ンダカットを生じることなしに、電極窓をエッチングす
ることが可能となる。第9図(b)から明らかなように、
電極窓の広がりは、第8図(c)で示した電極窓の広がり
よりごく僅かに広がっているだけである。
このようにして得られた電極窓は、形状が良好であるだ
けでなく、PSGに形成した場合より一般に小さい。単一
の工程で形成された窓の基部の直径は3.6ないし3.7μm
程度である。
第10図は電極窓の走査電子顕微鏡写真を示す。第10図に
示されるように、電極窓には上方向に向かって急峻な斜
面70が形成されている。この斜面70は、裏面ゲッタリン
グ工程の間に形成された砒素をドープした表面領域のエ
ッチング速度がASGバルクのエッチング速度より遅いこ
とにより形成される。この斜面70を利用することによ
り、電極窓をさらに小さくすることができる。
第11図は、裏面ゲッタリングの間に形成されたP2O5をエ
ッチングにより除去した電極窓の走査電子顕微鏡写真を
示す。端部の断面形状は平坦化されている。電極窓の金
属膜付けは特に容易である。
以上の説明では、シリコンウェハ上に堆積させる場合を
例に説明したが、本発明はシリコン以外のウェハ、例え
ばガリウム砒素、インジウムリンその他の半導体材料で
も同様に実施できる。
金属を絶縁するため誘電体としてASGを用いた素子は、P
SGを用いて製造した等価な素子と同等の性能であった。
PSGの上にポリイミドの層を形成したりPSGの上にプラズ
マ酸化を行う替わりに、ASGの上にASGを用いたことによ
り信頼性が大幅に向上する。温度85℃、湿度85%で加速
試験を繰り返し行ったところ、ASG上に保護されていな
いASG層を形成したチップは、1000時間後でも劣化が生
じなかった。同じ状況で、PSGにポリイミドを用いたチ
ップでは、40時間ないし120時間しかもたず、PSG上にプ
ラズマ酸化を用いた素子も同様であった。
〔発明の効果〕
以上説明したように、本発明は、比較的低温でシランと
酸素とを反応させることができる。しかも、この反応に
より得られるASGは下地の形状のとおりに堆積するの
で、良好なステップカバリッジが得られる。このASGで
被膜された半導体ウェハおよび半導体素子の特性は良好
である。
本発明の誘電体被膜の形成方法は既存のCVD装置で容易
に実施でき、この方法を応用して半導体素子を製造する
ときにはビアの形成が容易になる。しかも得られた素子
の耐久性が良好である。
したがって、本発明は半導体素子の製造を行ううえで非
常に大きな効果がある。
【図面の簡単な説明】
第1図は本発明の方法を実施するための装置の概略図。 第2図は多層配線集積回路素子の断面図。 第3図はアルシンとシランの流量の変化による温度対堆
積速度を示す図。 第4図は温度対堆積速度を示す図。 第5図は本発明により形成された下地に沿った形状の被
膜により覆われた金属組織の走査電子顕微鏡写真。 第6図は不十分なステップカバリッジを示す金属組織の
走査電子顕微鏡写真。 第7図は温度体堆積速度を示す図。 第8図はPSGにビアを形成する工程を示す図。 第9図は本発明により形成されたASGにビアを形成する
工程を示す図。 第10図は本発明のASGに形成された電極の金属組織の走
査電子顕微鏡写真。 第11図は本発明のASGに形成された電極の金属組織の走
査電子顕微鏡写真。 1……シリコン基板、2……二酸化シリコン層、3……
多結晶シリコン、4、6、8……誘電体層、5、7……
金属層、9、9′、9″……電極窓、98……熱電対、99
……サセプタ、100……反応ヘッド、101……テーブル、
102……水パイプ、103……ウェハ、104……ウェハキャ
リア、105、106、107……流量制御バルブ、108、109、1
10……圧力計、111〜116……流量制御バルブ、117〜122
……ロタメータ、123〜128……フロート、20……穴、21
……マスク、22……電極窓、23、23′……上端、25……
第二のマスク、44……ASG層、50……被膜、51……アル
ミニューム配線、52……側壁、60……被膜、61、62……
オーバハング、70……斜面。

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】シラン、酸素およびアルシンを含む混合気
    体の反応を化学気相成長工程で実行させ、プラズマの援
    助を伴うことなくアーセノシリケートガラスの被膜を形
    成する誘電体被膜の形成方法において、 前記反応は希釈ガスの存在下で実行し、 前記反応の条件は、その反応の生成物であるアーセノシ
    リケートガラスが下地の形状に沿って形成されるよう
    に、かつ前記反応が不均一反応が優勢であるように選ば
    れ、 シランとアルシンとの体積比を3.8:1ないし11.7:1に設
    定し、 酸素とシランとの体積比を18.5:1ないし106:1に設定す
    る ことを特徴とする誘電体被膜の形成方法。
  2. 【請求項2】酸素とシランとの体積比が18.5ないし41:1
    である 特許請求の範囲第(1)項に記載の誘電体被膜の形成方
    法。
  3. 【請求項3】シラン、酸素およびアルシンを含む混合気
    体の反応を化学気相成長工程で実行させ、プラズマの援
    助を伴うことなくアーセノシリケートガラスの被膜を形
    成する誘電体被膜の形成方法において、 前記反応は希釈がスの存在下で実行し、 前記反応の条件は、その反応の生成物であるアーセノシ
    リケートガラスが下地の形状に沿って形成されるよう
    に、かつ前記反応が不均一反応が優勢であるように選ば
    れ、 前記反応は500℃以下で実行される ことを特徴とする誘電体被膜の形成方法。
  4. 【請求項4】前記反応は400℃から450℃の間で実行され
    る特許請求の範囲第(3)項に記載の誘電体被膜の形成方
    法。
  5. 【請求項5】前記下地は半導体集積回路の金属層である
    特許請求の範囲第(1)項または第(2)項に記載の誘電体被
    膜の形成方法。
  6. 【請求項6】前記金属層はアルミニウムである特許請求
    の範囲第(5)項に記載の誘電体被膜の形成方法。
  7. 【請求項7】前記金属層はシリコンに直接接する特許請
    求の範囲第(5)項または第(6)項に記載の誘電体被膜の形
    成方法。
  8. 【請求項8】前記下地は半導体集積回路の金属層である
    特許請求の範囲第(3)項または第(4)項に記載の誘電体被
    膜の形成方法。
  9. 【請求項9】前記金属層はアルミニウムである特許請求
    の範囲第(8)項に記載の誘電体被膜の形成方法。
  10. 【請求項10】前記金属層はシリコンに直接接する特許
    請求の範囲第(8)項または第(9)項に記載の誘電体被膜の
    形成方法。
  11. 【請求項11】基板上に保持された一つ以上のアーセノ
    シリケートガラスの被膜を備えた半導体素子において、 前記被膜の少なくとも一部は、シラン、酸素およびアル
    シンを含む混合気体の反応を化学気相成長工程で実行さ
    れ、プラズマの援助を伴うことなく形成され、 前記反応は希釈ガスの存在下で実行され、 前記反応の条件は、その反応の生成物であるアーセノシ
    リケートガラスが下地の形状に沿って形成されるよう
    に、かつ前記反応が不均一反応が優勢であるように選ば
    れた ことを特徴とする半導体素子。
JP60007309A 1984-01-18 1985-01-18 誘電体被膜の形成方法および半導体素子 Expired - Lifetime JPH079903B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB848401250A GB8401250D0 (en) 1984-01-18 1984-01-18 Semiconductor fabrication
GB8401250 1984-01-18

Publications (2)

Publication Number Publication Date
JPS60246642A JPS60246642A (ja) 1985-12-06
JPH079903B2 true JPH079903B2 (ja) 1995-02-01

Family

ID=10555133

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60007309A Expired - Lifetime JPH079903B2 (ja) 1984-01-18 1985-01-18 誘電体被膜の形成方法および半導体素子

Country Status (8)

Country Link
US (2) US4731346A (ja)
EP (1) EP0150088B1 (ja)
JP (1) JPH079903B2 (ja)
AT (1) ATE144350T1 (ja)
CA (1) CA1266805A (ja)
DE (1) DE3588127T2 (ja)
GB (1) GB8401250D0 (ja)
IE (1) IE56660B1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2623812B2 (ja) * 1989-01-25 1997-06-25 日本電気株式会社 半導体装置の製造方法
US5034801A (en) * 1989-07-31 1991-07-23 W. L. Gore & Associates, Inc. Intergrated circuit element having a planar, solvent-free dielectric layer
US5285102A (en) * 1991-07-25 1994-02-08 Texas Instruments Incorporated Method of forming a planarized insulation layer
DE69311184T2 (de) * 1992-03-27 1997-09-18 Matsushita Electric Ind Co Ltd Halbleitervorrichtung samt Herstellungsverfahren
EP0564136B1 (en) * 1992-03-31 1998-06-03 STMicroelectronics, Inc. Method for planarization of an integrated circuit
US5500557A (en) * 1992-04-30 1996-03-19 Sgs-Thomson Microelectronics, Inc. Structure and method for fabricating integrated circuits
US5479042A (en) * 1993-02-01 1995-12-26 Brooktree Corporation Micromachined relay and method of forming the relay
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
JPH0845936A (ja) * 1994-05-31 1996-02-16 Texas Instr Inc <Ti> ダミーリードを用いた高速lsi半導体装置およびその信頼性改善方法
US5559052A (en) * 1994-12-29 1996-09-24 Lucent Technologies Inc. Integrated circuit fabrication with interlevel dielectric
US5817571A (en) * 1996-06-10 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multilayer interlevel dielectrics using phosphorus-doped glass
US6194301B1 (en) 1999-07-12 2001-02-27 International Business Machines Corporation Method of fabricating an integrated circuit of logic and memory using damascene gate structure
US7270940B2 (en) * 2002-12-18 2007-09-18 International Business Machines Corporation Method of structuring of a substrate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3925572A (en) * 1972-10-12 1975-12-09 Ncr Co Multilevel conductor structure and method
JPS6032974B2 (ja) * 1977-03-16 1985-07-31 株式会社日立製作所 半導体装置の製造方法
JPS5534444A (en) * 1978-08-31 1980-03-11 Fujitsu Ltd Preparation of semiconductor device
DE2936724A1 (de) * 1978-09-11 1980-03-20 Tokyo Shibaura Electric Co Halbleitervorrichtung und verfahren zu ihrer herstellung
JPS5544713A (en) * 1978-09-26 1980-03-29 Toshiba Corp Semiconductor device
US4319260A (en) * 1979-09-05 1982-03-09 Texas Instruments Incorporated Multilevel interconnect system for high density silicon gate field effect transistors
US4355454A (en) * 1979-09-05 1982-10-26 Texas Instruments Incorporated Coating device with As2 -O3 -SiO2
JPS56150830A (en) * 1980-04-25 1981-11-21 Hitachi Ltd Semiconductor device
JPS59174544A (ja) * 1983-03-25 1984-10-03 Nippon Electric Glass Co Ltd 半導体被覆用ガラス
JPH0630355B2 (ja) * 1983-05-16 1994-04-20 ソニー株式会社 半導体装置
US4535528A (en) * 1983-12-02 1985-08-20 Hewlett-Packard Company Method for improving reflow of phosphosilicate glass by arsenic implantation
US4548671A (en) * 1984-07-23 1985-10-22 Rca Corporation Method of making a charge-coupled device imager which includes an array of Schottky-barrier detectors

Also Published As

Publication number Publication date
ATE144350T1 (de) 1996-11-15
JPS60246642A (ja) 1985-12-06
EP0150088A2 (en) 1985-07-31
IE850104L (en) 1985-07-18
IE56660B1 (en) 1991-10-23
DE3588127T2 (de) 1997-02-27
DE3588127D1 (de) 1996-11-21
GB8401250D0 (en) 1984-02-22
EP0150088B1 (en) 1996-10-16
US4731346A (en) 1988-03-15
EP0150088A3 (en) 1985-08-28
CA1266805A (en) 1990-03-20
US5130782A (en) 1992-07-14

Similar Documents

Publication Publication Date Title
US4784973A (en) Semiconductor contact silicide/nitride process with control for silicide thickness
KR960013135B1 (ko) 캡 산화물을 배치한 티탄 규화물 형성 방법
US4804560A (en) Method of selectively depositing tungsten upon a semiconductor substrate
JPS6231506B2 (ja)
JPH0744175B2 (ja) エッチング方法
JP2710551B2 (ja) 低温フローのbpsgを形成するプラズマcvdプロセス
US5633211A (en) Semiconductor device and process
JPH079903B2 (ja) 誘電体被膜の形成方法および半導体素子
JPH04213829A (ja) 半導体ウエハの段状表面にボイドを含まない酸化物層を形成する二段階法
US4557950A (en) Process for deposition of borophosphosilicate glass
JPS6333569A (ja) 金属薄膜の製造方法
US5869394A (en) Teos-ozone planarization process
US4630343A (en) Product for making isolated semiconductor structure
KR100497474B1 (ko) 반도체소자의 게이트전극 형성방법
JP3080809B2 (ja) 半導体装置の製造方法
US7402513B2 (en) Method for forming interlayer insulation film
JP3401322B2 (ja) 絶縁膜を有する半導体装置の製造方法
JP4160317B2 (ja) 絶縁膜の製造方法および半導体装置の製造方法
KR100212014B1 (ko) 반도체 소자의 비피에스지막 형성방법
US6784117B2 (en) Method for manufacturing a semiconductor device
JP2820201B2 (ja) 半導体装置の製造方法
KR100514524B1 (ko) 반도체 소자의 산화막 형성 방법
JPH088336A (ja) 半導体装置及びその製造方法
JPH01109727A (ja) 半導体装置およびその製造方法
KR20020041582A (ko) 반도체 장치의 층간절연막 형성 방법 및 이를 이용한반도체 장치의 제조 방법