JP7130757B2 - 集積回路マージン測定および故障予測装置 - Google Patents

集積回路マージン測定および故障予測装置 Download PDF

Info

Publication number
JP7130757B2
JP7130757B2 JP2020545016A JP2020545016A JP7130757B2 JP 7130757 B2 JP7130757 B2 JP 7130757B2 JP 2020545016 A JP2020545016 A JP 2020545016A JP 2020545016 A JP2020545016 A JP 2020545016A JP 7130757 B2 JP7130757 B2 JP 7130757B2
Authority
JP
Japan
Prior art keywords
output
circuit
signal
comparison
signal path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020545016A
Other languages
English (en)
Other versions
JP2021503091A5 (ja
JP2021503091A (ja
Inventor
ランドマン,エブリン
コヘン,シャイ
デイビッド,ヤヘル
ファイネ,エヤル
ウェイントロブ,インバル
Original Assignee
プロテアンテクス リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by プロテアンテクス リミテッド filed Critical プロテアンテクス リミテッド
Publication of JP2021503091A publication Critical patent/JP2021503091A/ja
Publication of JP2021503091A5 publication Critical patent/JP2021503091A5/ja
Priority to JP2022133215A priority Critical patent/JP2022172206A/ja
Application granted granted Critical
Publication of JP7130757B2 publication Critical patent/JP7130757B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • G01R31/2881Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to environmental aspects other than temperature, e.g. humidity or vibrations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3016Delay or race condition test, e.g. race hazard test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/3193Tester hardware, i.e. output processing circuits with comparison between actual response and known fault free response
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/319Tester hardware, i.e. output processing circuits
    • G01R31/3193Tester hardware, i.e. output processing circuits with comparison between actual response and known fault free response
    • G01R31/31937Timing aspects, e.g. measuring propagation delay
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/50Testing of electric apparatus, lines, cables or components for short-circuits, continuity, leakage current or incorrect line connections
    • G01R31/52Testing for short-circuits, leakage current or ground faults

Description

関連出願の相互参照
この出願は、2017年11月15日に出願された米国仮特許出願第62/586,423号の優先権の利益を主張し、その内容はすべて参照により本明細書に組み込まれる。
本発明は、集積回路の分野に関する。
集積回路(IC)は、シリコンウェーハなどの平坦な半導体基板上のアナログおよびデジタル電子回路を含み得る。微細なトランジスタをフォトリソグラフィ技術を使用して基板に印刷して、非常に小さな領域に数十億のトランジスタの複雑な回路を生成することにより、ICを使用した最新の電子回路設計を低コストかつ高性能にしている。ICは、ファウンドリと呼ばれる工場の組立ラインで生産され、そこでは、相補型金属酸化膜半導体(CMOS)ICなどのICの生産を共用化している。デジタルICには、機能ユニット間でデータ値を転送する機能ユニットを相互接続するデータパスを備えた、ウェーハ上の機能ユニットおよび/または論理ユニットに配設された数十億のトランジスタが含まれる。本明細書で使用する場合、「データパス」という用語は、ICの機能/論理ユニット間でデータ信号を転送するための並列の一連の電子接続またはパスを意味し、各データパスは、64、128、256などの特定の数のビットパスなどを含み得る。IC設計プロセス中、機能ユニットのタイミングは、各機能ユニットが通常、1つのクロックサイクル内でそのユニットに必要な処理を完了できるように調整される。個々のICの製造上の差異、およびICの計画された寿命にわたる、劣化などの考えられる変化を説明するために、安全係数を使用する場合がある。
ICのトランジスタの経時的劣化は、エージングと呼ばれる。例えば、トランジスタの経時的劣化は、スイッチング速度が徐々に低下することにつながり、それが設計の安全係数を超えると、完全な回路故障を引き起こす可能性すらある。通常、設計プロセスは、これらの遅延を設計に組み込んで、ICが通常の寿命の間に故障しないようにするが、環境および使用条件(熱、電圧、電流、湿度など)により、エージングプロセスが加速する場合がある。
バイポーラトランジスタ、金属酸化膜半導体電界効果トランジスタ(MOSFET)などのICトランジスタは、デジタルICで使用されることもあり、電気スイッチとして機能することもある。例えば、MOSFETは、ボディ、ゲート、ソース、ドレインなどの4つの端子を有し得るが、通常、ソースとボディは電気的に接続される。ゲートに印加される電圧は、ソースとドレインの間に流れる電流の量を決定し得る。誘電体材料の薄層は、ゲートを電気的に絶縁し、ゲートに印加された電界は、ソースとドレインの間の下層の半導体チャネルの導電率を変化させ得る。
使用の際、平均的な電荷キャリアよりも多くのエネルギーを持つ電荷キャリア(負もしくはnチャネルのMOSFETの電子、または正もしくはpチャネルのMOSFETの正孔など)は、ソースとドレインの間の導電性チャネルからはみ出て、絶縁誘電体に閉じ込められ得る。このプロセスは、ホットキャリア注入(HCI)と呼ばれ、最終的に誘電体層内に電荷を蓄積して、それにより、トランジスタの動作に必要な電圧を増加し得る。閾値電圧が増加するほど、トランジスタのスイッチング遅延は大きくなり得る。
ゲートに電圧が印加されると、別のエージングメカニズムが生じるが、これは、バイアス温度不安定性(BTI)と呼ばれる現象である。BTIにより、誘電体に電荷が蓄積するなどの問題が生じる可能性があるが、この影響の一部は、そのゲート電圧が除去されると自然に消える。この回復は、数マイクロ秒以内に起こるため、トランジスタにストレスがかかったときに観察することは困難であり、ストレスが取り除かれた後にのみ、結果の効果が測定される。
ゲートに印加された電圧が、トラップとして知られている電気的に活性な欠陥を誘電体内に生成する可能性がある場合、別のエージングメカニズムが作用する。トラップが非常に多くなると、これらの電荷トラップが結合して、ゲートと電流チャネルの間に完全な短絡を形成する可能性がある。この種の故障は、酸化膜破壊、または時間依存絶縁破壊と呼ばれる。性能が徐々に低下する他のエージングメカニズムとは異なり、誘電体の破壊はトランジスタの壊滅的な故障につながり、ICを誤動作させ得る。
さらに、エレクトロマイグレーションと呼ばれる現象により、トランジスタを結合したり、トランジスタを外界にリンクしたりする銅またはアルミニウムの接続が損傷する可能性がある。エレクトロマイグレーションは、電流のサージが金属原子を電気接続から自由にさせると発生し得るものであり、それらを電子と一緒に流し得る。これにより、上流にあるいくつかの原子の金属が消耗し、下流に金属が蓄積する。上流の金属が薄くなると、接続の電気抵抗が増加し、場合によっては開回路になる。下流の堆積により、金属が指定されたトラックから膨らむ可能性がある。
ICの他の信頼性関連の問題は、ストレスマイグレーションと呼ばれる現象である。これは、機械的ストレスの影響下での金属原子の流れを表すために使用される。
さらに、モデル化されていない現象、ランダムな製造上の欠陥などの欠陥は、信号パスの経時的なタイミング劣化を引き起こす可能性がある。一部の欠陥は、テスト、検証、初期動作などの際に現れない場合があり、例えば、ダイ/IC/製品は、テスト段階ですべてのスクリーニング手順に合格することがある。例えば、完全な金属カバレッジが少ないなどの製造上の欠陥を含むビアは、経時的にその抵抗を増加させ、ある時点で、論理パスのタイミング障害を引き起こす。例えば、ランダムな製造上の欠陥がICのどこにでも現れ、多くの様々な種類とレベルの欠陥が組み込まれている可能性があるため、これらの欠陥を軽減するための安全係数を設計に組み込むことができない場合がある。一方、開示された技術の実施形態の態様は、適切なIC経路でのフィンガープリントサンプリングに基づいて各個々のICの故障を予測し、先制的な交換、是正および予防措置、ユーザへの通知、補償によってIC内での故障を軽減して時間寿命を延ばすなどを可能にし得る。
関連技術の前述の例およびそれに関連する限定は、例示的であり、排他的ではないことが意図されている。関連技術の他の限定は、明細書を読み、図を検討すれば、当業者には明らかになるであろう。
以下の実施形態およびその態様は、範囲を限定するのではなく、例示的かつ例証的であることを意味するシステム、ツール、および方法に関連して説明および図示される。
一実施形態によれば、特許請求の範囲による半導体集積回路(IC)が提供される。これは、複数の入力パスおよび出力パスを含む信号パス結合器を含んでもよい。ICは、出力パスに電気的に接続された入力を有する遅延回路を備え、遅延回路は、入力信号を可変遅延時間だけ遅延させて、遅延信号パスを出力する。ICは、出力パスに電気的に接続された第1の記憶回路と、遅延信号パスに電気的に接続された第2の記憶回路とを含んでもよい。ICは、第1および第2の記憶回路の出力を比較する比較回路を備え、比較回路は、1つ以上の軽減回路に電気的に接続された第2の出力パスを備える。
いくつかの実施形態では、軽減回路は、通知回路、タイミング遅延測定(または推定)回路、データ伝送回路、ICアンチエージング補償回路、故障解析回路、からなる群のうちの1つ以上の回路である。
いくつかの実施形態では、信号パス結合器は、論理XOR結合器、ハミングパリティ結合器、およびマルチプレクサからなる群のうちの1つ以上である。
いくつかの実施形態では、可変遅延時間は、ICのクロック周期をシグネチャベクトルサイズで除算したものに等しい増分の整数倍に設定され、シグネチャベクトルサイズは、1~100,000である。
いくつかの実施形態では、軽減回路は、コンピュータ化されたサーバに電子的に接続されたデータ伝送回路であり、コンピュータ化されたサーバは、比較データ信号の複数のインスタンスを受信し、比較データ信号の故障予測分析を実行し、故障予測分析が事前定義された時間内にICの故障を予測したときに、軽減モジュールに通知を送信するように構成される。
いくつかの実施形態では、比較データ信号の少なくともいくつかは、可変遅延時間の複数の値で生成される。
いくつかの実施形態では、比較データ信号の少なくともいくつかは、可変遅延時間の複数の値からの1つ以上の値の複数のインスタンスから生成される。
いくつかの実施形態では、故障予測分析は、機械学習分析、傾向分析、複数オブジェクト追跡分析、および多変量分析のうちの1つ以上を含む。
いくつかの実施形態では、故障予測分析は、複数の異なるICから比較データ信号を受信することを含む。
いくつかの実施形態では、故障予測分析は、複数の異なるICから故障予測分析結果を受信することを含む。
本明細書に記載の任意の実施形態と組み合わせ得る、さらなる態様では、複数の入力パスおよび出力を含む信号パス結合器であって、出力が、各入力パスで受信したそれぞれの信号の組み合わせに基づく、信号パス結合器と、信号パス結合器の出力に電気的に接続された入力を有する遅延回路であって、入力信号を可変遅延時間だけ遅延させて遅延信号を出力する、遅延回路と、信号パス結合器の出力と遅延信号との比較に基づいて比較出力を提供するように配設される比較回路であって、比較出力が、比較データ信号で少なくとも1つの軽減回路に提供される、比較回路と、を備える半導体集積回路(IC)が提供される。
いくつかの実施形態では、軽減回路は、通知回路、タイミング遅延測定回路、データ伝送回路、ICアンチエージング補償回路、および故障解析回路、からなる群のうちの少なくとも1つの回路である。
任意選択で、信号パス結合器は、論理XOR結合器、ハミングパリティ結合器、およびマルチプレクサからなる群のうちの少なくとも1つである。
一実施形態では、ICは、信号パス結合器の出力に電気的に接続されかつ記憶された信号パス結合器の出力を比較回路への第1の入力として提供するように配設される第1の内部記憶回路と、遅延信号に電気的に接続されかつ遅延信号を比較回路への第2の入力として提供するように配設される第2の内部記憶回路と、をさらに備える。
特定の実施形態では、信号パス結合器は、第1のデータソースから複数の信号を受信するように配設される第1の信号パス結合器であり、比較回路は、第1の比較回路である。次に、ICは、複数の入力パスおよび出力を含む第2の信号パス結合器であって、第2の信号パス結合器の出力が、各入力パスで受信されたそれぞれの信号の組み合わせに基づき、信号が、第2のデータソースから受信される、第2の信号パス結合器と、マルチプレクサであって、第1の信号パス結合器の出力、第2の信号パス結合器の出力、および選択信号を受信しかつ選択信号に基づいて第1の信号パス結合器の出力または第2の信号パス結合器の出力を選択的に出力するように構成され、マルチプレクサの出力が、遅延回路への入力として提供される、マルチプレクサと、第2の信号パス結合器の出力と遅延信号との比較に基づいて第2の比較出力を提供するように配設される第2の比較回路と、第1の比較出力および第2の比較出力を入力として受信しかつ出力を少なくとも1つの軽減回路への比較データ信号として提供するように配設されるORゲートと、をさらに備えてもよい。
ICは任意選択で、第1の比較出力を受信するように配設されかつ第1のクロック信号によって制御される第1の比較記憶回路と、第2の比較出力を受信するように配設されかつ第2のクロック信号によって制御される第2の比較記憶回路と、をさらに備え、第1の比較記憶回路が、第1の比較出力をORゲートへの第1の入力として提供するように配設され、第2の比較記憶回路が、第2の比較出力をORゲートへの第2の入力として提供するように配設される。
いくつかの実施形態では、可変遅延時間は、ICのクロック周期をシグネチャベクトルサイズで除算したものに等しい増分の整数倍に設定され、シグネチャベクトルサイズは、1~100,000である。
実施形態では、軽減回路は、コンピュータ化されたサーバに電子的に接続されたデータ伝送回路であり、コンピュータ化されたサーバは、比較データ信号の複数のインスタンスを受信し、比較データ信号の故障予測分析を実行し、故障予測分析が事前定義された時間内にICの故障を予測したときに、軽減モジュールに通知を送信するように構成される。任意選択で、比較データ信号の少なくともいくつかは、可変遅延時間の複数の値で生成される。好ましくは、比較データ信号の少なくともいくつかは、可変遅延時間の複数の値のうちの少なくとも1つの値の複数のインスタンスから生成される。
特定の実施形態では、故障予測分析は、機械学習分析、傾向分析、複数オブジェクト追跡分析、および多変量分析のうちの少なくとも1つを含む。
実施形態では、故障予測分析は、複数の異なるICから比較データ信号を受信することを含む。
いくつかの実施形態では、故障予測分析は、複数の異なるICから故障予測分析結果を受信することを含む。
いくつかの実施形態では、信号パス結合器の複数の入力パスのそれぞれは、IC内のそれぞれのデータソースからの信号、メモリ回路からの信号、およびクロックイネーブルによってグループ化された複数の論理回路のうちの1つからの信号、のうちの1つを受信するように構成されている。
別の態様(本明細書で説明する任意の他の実施形態と組み合わせることができる)では、半導体集積回路(IC)を使用する方法であって、信号パス結合器で複数の入力パスのそれぞれで受信したそれぞれの信号を結合して出力を提供することと、遅延回路で可変遅延時間だけ信号パス結合器の出力を遅延させて遅延信号を出力することと、信号パス結合器の出力と遅延信号とを比較して比較出力を提供し、比較出力を少なくとも1つの軽減回路への比較データ信号で提供することと、を含む方法が考えられる。
いくつかの実施形態では、軽減回路は、通知回路、タイミング遅延測定回路、データ伝送回路、ICアンチエージング補償回路、故障解析回路、からなる群のうちの少なくとも1つの回路である。
実施形態では、信号パス結合器は、論理XOR結合器、ハミングパリティ結合器、およびマルチプレクサからなる群のうちの少なくとも1つである。
任意選択で、方法は、第1の内部記憶回路で信号パス結合器の出力を記憶し、第1の内部記憶回路からの記憶された信号パス結合器の出力を比較回路への第1の入力として提供することと、遅延信号を第2の内部記憶回路で記憶し、第2の内部記憶回路からの遅延信号を比較回路への第2の入力として提供することと、をさらに含む。
特定の実施形態では、信号パス結合器は、第1のデータソースから複数の信号を受信する第1の信号パス結合器であり、比較回路は、第1の比較回路である。次に、方法は、第2の信号パス結合器で複数の入力パスのそれぞれで受信されたそれぞれの信号を結合して出力を提供することであって、信号が、第2のデータソースから受信される、提供することと、第1の信号パス結合器の出力、第2の信号パス結合器の出力、およびマルチプレクサでの選択信号を受信し、選択信号に基づいて第1の信号パス結合器の出力または第2の信号パス結合器の出力を選択的に出力することであって、遅延するステップが、遅延回路で可変遅延時間によって第1の信号パス結合器の出力または第2の信号パス結合器の出力を遅延させて、遅延信号を出力するように、マルチプレクサの出力が遅延回路への入力として提供される、受信して出力することと、第2の信号パス結合器の出力と遅延信号とを比較して、第2の比較出力を提供することと、ORゲートで、第1の比較出力および第2の比較出力を入力として受信し、比較データ信号をORゲートからの出力として少なくとも1つの軽減回路に出力することと、をさらに含んでもよい。
いくつかの実施形態では、方法は、第1のクロック信号によって制御される第1の比較記憶回路で第1の比較出力を受信することと、第2のクロック信号によって制御される第2の比較記憶回路で第2の比較出力を受信することと、第1の比較記憶回路からの第1の比較出力をORゲートへの第1の入力として提供することと、第2の比較記憶回路からの第2の比較出力をORゲートへの第2の入力として提供することと、をさらに含む。
実施形態では、可変遅延時間は、ICのクロック周期を1~100,000の係数で除算したものに等しい増分の整数倍で設定される。
いくつかの実施形態では、軽減回路は、コンピュータ化されたサーバに電子的に接続されたデータ伝送回路であり、方法は、コンピュータ化されたサーバで比較データ信号の複数のインスタンスを受信することと、コンピュータ化されたサーバで比較データ信号の故障予測分析を実行することと、故障予測分析が事前定義された時間内にICの故障を予測したときに、コンピュータ化されたサーバから軽減モジュールに通知を送信することと、をさらに含む。任意選択で、比較データ信号の少なくともいくつかは、可変遅延時間の複数の値で生成される。好ましくは、比較データ信号の少なくともいくつかは、可変遅延時間の複数の値のうちの少なくとも1つの値の複数のインスタンスから生成される。
いくつかの実施形態では、故障予測分析は、機械学習分析、傾向分析、複数オブジェクト追跡分析、および多変量分析のうちの少なくとも1つを含む。
特定の実施形態では、故障予測分析は、複数の異なるICから比較データ信号を受信することを含む。
実施形態では、故障予測分析は、複数の異なるICから故障予測分析結果を受信することを含む。
任意選択で、信号パス結合器の複数の入力パスのそれぞれは、IC内のそれぞれのデータソースからの信号、メモリ回路からの信号、およびクロックイネーブルによってグループ化された複数の論理回路のうちの1つからの信号、のうちの1つを受信するように構成されている。
実施形態では、方法は、ICの初期動作で実行される。次に、方法は、軽減回路に提供された比較データ信号に基づいて、ICのタイミング遅延を測定することをさらに含んでもよい。
いくつかの実施形態では、方法は、複数の比較出力を提供するために、複数の遅延時間のそれぞれについて、結合、遅延、および比較のステップを繰り返すことと、複数の比較出力に基づいてICの識別特性を決定することと、をさらに含む。任意選択で、方法は、ICの識別特性の変化を経時的に追跡することをさらに含む。
上記の例示的な態様および実施形態に加えて、さらなる態様および実施形態は、図を参照することによって、および以下の詳細な説明を検討することによって明らかになるであろう。
例示的な実施形態は、参照図に示されている。図に示されているコンポーネントおよび機構の寸法は、概して、表示の便宜と明確さのために選択されており、必ずしも縮尺どおりに示されていない。図は以下のとおりである。
ICマージン測定と故障予測のためのコンピュータ化されたシステムを概略的に示す。 ICマージン測定と故障予測の方法のフローチャートを示す。 ICマージン測定と故障予測のためのそれぞれのXOR型回路図を示す。 ICマージン測定と故障予測のためのMUXベースの回路図を示す。 アンチICエージングモードの回路図を示す。 ICマージン測定と故障予測のための信号遅延のタイミング図を示す。 第1の実験のサイクル時間に対するエラーのグラフを示す。 第2の実験のサイクル時間に対するエラーのグラフを示す。 ICマージン測定と故障予測のための2つの信号遅延のタイミング図を示す。 第3の実験のサイクル時間に対するエラーのグラフを示す。 ユニットのマージンマップ図を示す。
本明細書に開示されるのは、個々の集積回路の将来の故障を決定および予測するための方法およびデバイスである。また、その最初の動作からのおよび/または経時的な(例えば、その最初の動作からまたはその後の任意の期間中の)ICのタイミング遅延マージン測定回路が開示される。故障予測回路(FPC)またはマージン測定および故障予測回路(MFPC)などの、専用回路(検出器であってもよい)が、デジタル集積回路(データパスあたり1つ以上のFPCまたはMFPCなど)の1つ以上のデータパスに沿って選択された地点に配置され、各専用回路は、複数の個々のデータパスを、より少ない数のテストパスに結合する。各テスト信号を2つに分割し、分割した信号パスの1つに遅延回路を適用することにより、機能ユニットの各クロックサイクル中に、データパスの各パスの遅延のフィンガープリントまたはシグネチャを取得してもよい。本明細書で使用する場合、「フィンガープリント」および/または「シグネチャ」という用語は、データパスの信号の組み合わせのタイミング遅延マージンの測定から生じる、ベクトル、シリーズなどの信号強度のプロファイルを意味する。本明細書で使用される場合、「マージン」という用語は、データパスの個々のデータ信号のタイミング遅延を指す。機能ユニットの各クロックサイクルに対して、出力データパスは、異なるデータ値を持ってもよい。したがって、各クロックサイクル中に、機能ユニット内の論理パスの異なる組み合わせがテストされ、異なるフィンガープリントが生成されてもよい。経時的に多数のフィンガープリントを収集することにより、フィンガープリントのデータセットを分析してもよい。フィンガープリントデータセットの分析は、性能を決定し、および/または個々のICの将来の故障を予測してもよい。
概括的に言えば、(例えば、メモリ回路からのおよび/またはクロックイネーブルによってグループ化された論理回路からの、データソースまたはデータパスで信号を受信するための)複数の入力パスおよび出力を備える信号パス結合器であって、出力が、各入力パスで受信されたそれぞれの信号の組み合わせに基づく、信号パス結合器と、信号パス結合器の出力に電気的に接続された入力を有する遅延回路であって、入力信号を可変遅延時間だけ遅延させて、遅延信号を出力する、遅延回路と、信号パス結合器の出力と遅延信号との比較に基づいて比較出力を提供するように配設される比較回路であって、比較出力が、比較データ信号で少なくとも1つの軽減回路に提供される、比較回路と、を備える半導体集積回路(IC)が考えられる。信号パス結合器、遅延回路、および比較回路の組み合わせは、FPCまたはMFPCを提供してもよい。
そのようなICを使用するための方法も考えられる(その中で使用することは、例えば、動作、分析、および構成のうちの1つ以上を含んでもよい)。例えば、これは、半導体集積回路(IC)を使用する方法を含んでもよい。本方法は、信号パス結合器で複数の入力パスのそれぞれで受信したそれぞれの信号を結合して出力を提供することと、遅延回路で可変遅延時間だけ信号パス結合器の出力を遅延させて遅延信号を出力することと、信号パス結合器の出力と遅延信号とを比較して比較出力を提供し、比較出力を比較データ信号で少なくとも1つの軽減回路に提供することと、を含んでもよい。
また、結合、遅延、および比較のステップは、複数の遅延時間のそれぞれについて繰り返されてもよいと考えられる。このようにして、複数の比較出力を提供してもよい。それにより、ICの識別特性(すなわち、シグネチャまたはフィンガープリント)は、複数の比較出力に基づいて決定されてもよい。このプロセスを異なるクロックサイクルで繰り返すことにより、複数のそのようなフィンガープリントを決定してもよい。次に、例えば、フィンガープリントの変化を経時的に追跡することにより(少なくとも、単一のフィンガープリントを決定するのにかかる時間の長さ、好ましくはそれより長い間隔を使用して)、フィンガープリントを異なる時間に追跡してもよい。
ICを参照して説明された機構のいずれかによって実施されるステップに対応するさらなる任意選択な方法の機構が提供されてもよい。これらの例については、以下で説明する。特定の実施形態についても以下で説明するが、本開示の一般化された意味または用語についてもさらに参照する。
なお、データパスは、FPCまたはMFPCで処理できる設計スタイルの一例であり、他の例として、メモリ回路(FPC/MFPCはメモリの出力に位置する)や特定のクロックに対して一緒にグループ化される、その他の論理回路であっもてよい。
任意選択で、本明細書で説明する実施形態の態様は、エージング、設計に現れて劣化を引き起こす潜在的な欠陥、IC内/IC間の製造上の差異、ファブ間の製造上の差異など、IC性能の信頼性の問題に適用してもよい。説明されている手法は、任意のソースまたは原因からのタイミング遅延の変化を発見し、IC故障がデバイス/システム故障を引き起こす前に将来の故障を予測し、特定のIC故障の前に是正および予防措置を可能にする。ここでは例として、エージング、エレクトロマイグレーションなどの信頼性の問題を使用するが、この手法は、ランダム欠陥、系統的欠陥、未知の欠陥などの潜在的な欠陥に適用してもよい。
任意選択で、遅延を小さな時間ステップで変更して、1つ以上の時間遅延のスイープ、および異なる時間遅延ごとに関連するフィンガープリントを生成してもよい。スイープは、個々のICの動作を決定したり、ICの将来の故障を予測したりするために分析されてもよい。
任意選択で、1つ以上のデータセット(例えば、IC上の信号)を組み合わせて分析して、データパス(または同等の信号パス)の各パス、機能ユニットの各論理処理パスなどの動作遅延を決定してもよい。
任意選択で、1つ以上のデータセットを統計的に分析して、ICの将来の故障を予測してもよい。例えば、IC劣化傾向は、経時的な最小遅延マージン変化を分析することなどにより、故障予測回路を使用して測定された1つ以上の遅延マージンで分析してもよい。
任意選択で、機械学習を使用して1つ以上のデータセットを分析して、ICの故障を監視したり、ICの将来の故障を予測したりなどをしてもよい。
任意選択で、1つ以上のデータセットを分析して将来のICを設計してもよい。
任意選択で、1つ以上のスイープを組み合わせて分析して、データパスの各パス、機能ユニットの各論理処理パスなどの動作遅延を決定してもよい。
任意選択で、1つ以上のスイープを統計的に分析して、ICの将来の故障を予測してもよい。例えば、1つ以上のスイープの回帰分析は、タイミング遅延の変化を決定し、タイミング遅延故障値への外挿は、故障までの時間を決定する。
任意選択で、機械学習を使用して1つ以上のスイープを分析して、ICの故障を監視したり、ICの将来の故障を予測したりなどをしてもよい。
任意選択で、1つ以上の遅延時間スイープを分析して将来のICを設計してもよく、この場合、将来のICは、以前のICの故障を回避するように設計される。
任意選択で、チップの寿命の初めに機械学習を使用して、例えば、チップの寿命の初めのタイミング遅延マージンシグネチャまたはICのフィンガープリントを使用して、1つ以上のスイープを分析してもよい。シグネチャまたはフィンガープリントは、チップ異常値検出/スクリーニングに使用してもよい。すなわち、特定のICには、大規模な製造スケールで異常を検出できる他のICと比較して、一意のIDとシグネチャが与えられる。
次に、図1および図2を参照する。これらは、ICテスト(テスターまたはシステムレベル)でのIC故障予測および論理パスのマージン測定のための、それぞれコンピュータ化されたシステム100および方法のフローチャート(200および210)を概略的に示す。システム100は、IC150、コンピュータ101A、およびこれら2つを接続するデータインターフェース接続140を備える。IC150は、複数の機能ユニット(151、152、153などにある)、およびそれらの間にあるデータパス(141、142A、142B、143A、143Bなどにあり、合成論理を含んでもよい)を備える。IC150は、データパス(142A、143Aなどにある)から信号をキャプチャし、それぞれのデータパスから少なくともいくつかの遅延タイミングを決定するためのマージン測定および故障予測回路(MFPC;131、132、133などにある)を備える。MFPC131、132、または133は、データパスからの信号を結合し(201)、結合された信号の1つ以上の遅延をテストする(202)。IC150は、データインターフェース接続140に接続し、遅延タイミングをコンピュータ101Aに送信する(202)ためのデータインターフェースを備える。遅延を変更すること(204)などの、データパスの複数の信号についておよび/または複数の遅延値について収集された遅延タイミングデータは、遅延タイミングのフィンガープリントとみなしてもよい。
コンピュータ101Aは、1つ以上のハードウェアプロセッサ101B、ユーザインターフェース120、および非一時的なコンピュータ可読記憶媒体102を備える。記憶媒体は、MFPCデータレシーバ102A、ICエージングアナライザ102B、IC故障予測器102Cなどのプログラムコードを含み、プログラムコードは、ハードウェアプロセッサ(複数可)101B上で実行されると、ハードウェアプロセッサ101Bに、MFPCデータ受信機102Aを使用するなどのデータインターフェース110を使用することにより、信号遅延データ(すなわち、フィンガープリント)を受信させる(211)、命令を含む。ICエージングアナライザ102Bは、フィンガープリントを分析し(212)、IC故障予測器102Cは、ユーザインターフェース120を使用するなどして、ステータス、故障予測、予防措置などをオペレータに通知する(213)。
任意選択で、遅延タイミングは、IC150の回路(図示せず)によって分析され、IC150上のクロックおよび/または論理修正(206)が故障前のIC150の寿命を改善する時期を決定する。任意選択で、遅延タイミングは、IC150の回路(図示せず)によって分析され、通知(206)がステータスまたは故障予測について発行される。
任意選択で、遅延タイミングフィンガープリントをICテスト(テスターまたはシステム)で生成して、特定のユニットのデータパスの時間ゼロのマージンマップを抽出してもよい。
フィンガープリントは、初期動作時に分析され、ICの寿命にわたって監視されて、予測される故障が発生する可能性がある時期を決定してもよい。例えば、欠陥劣化勾配分析が、ICの故障の将来の時間を決定してもよい。例えば、フィンガープリントの最小マージンを分析し、経時的に最小マージンをプロットして、ゼロのマージン遅延にプロットを外挿することにより、予測される故障時間を決定する。
ここで、IC故障予測のためのXOR型回路図を示す図3を参照する。XORコンポーネント(XOR1)は、64、128、256、512などのデータパスからの信号を単一の信号XOR1_outに結合する。XOR1_outは、第1のフリップフロップFF2と遅延ラインD2に供給される。遅延されたXOR1_outは、第2のフリップフロップFF1に供給される。FF1およびFF2は、クロックclk_3によってアクティブにされ、それらの出力XORはXOR2で結合される。XOR2_outは、遅延ごとに論理1であり、clk_d1の時点でXOR1_outおよびXOR1_out_d2のいずれかが論理1である。
したがって、clk_d1の複数のインスタンスおよび/またはD1の複数の値は、組み合わせ(組合せ)論理FU1のデータパスに沿った遅延のタイミング遅延データ、したがってタイミング遅延のフィンガープリントを決定し得る。MFPCは、これらの経時的なタイミング遅延を分析することで、FU1のどのパスが最も速く劣化および/またはエージングしているのかを検出し、IC150の故障を引き起こす可能性があるのかを検出し得る。
XOR1の出力信号は、データパスの入力信号の最小タイミングマージン遅延を維持する入力信号の圧縮とみなし得る。入力信号の最小マージンがD2に関連する遅延よりも小さい場合、XOR2の出力は論理1になる。したがって、XOR1はパリティチェッカー、すなわち、入力信号のパリティが論理1の場合に出力は論理1になるものであってもよい。圧縮信号(XOR1出力)の各立ち上がりエッジは、入力信号の1つの立ち上がりエッジに関連付けられ得る。最小タイミング遅延マージンが1つの入力のみに関連付けられている単純なケースでは、XOR1出力の最後の立ち上がりまたは立ち下がり遷移が最小マージンを表す。この概念は、以下で説明する数学的証明と、イベントベースのシミュレーションによって証明し得る。例えば、いくつかの信号のマージンがD2よりも小さい、複数の信号が同時に切り替えられる、などの特殊なケースがシミュレーションによって証明され得る。
上記したように概説的に言えば、ICは、信号パス結合器の出力に電気的に接続されかつ記憶された信号パス結合器の出力を比較回路への第1の入力として提供するように配設される第1の内部記憶回路と、遅延信号に電気的に接続されかつ遅延信号を比較回路への第2の入力として提供するように配設される第2の内部記憶回路と、をさらに備えてもよい。しかしながら、次に説明するように、そのような構成は任意選択である。
ここで、図3に示されているものと比較して、IC故障予測のためのXOR型回路図の異なるバージョンを示す図3Aを参照する。図3Aに示すデータパスは、図3に示すものと本質的に同じ構造を有する。このバージョンでは、XORコンポーネントXOR1aは、64、128、256、512などのデータパスからの信号を単一の出力信号XOR1aoutに結合する。XOR1aoutは、第1の第1の入力として第2のXOR回路XOR2aに供給され、並列に遅延ラインD2に供給され、その出力は第2の入力を第2のXOR回路XOR2aに提供する。第2のXOR回路XOR2a、XOR2aoutからの遅延出力信号は、フリップフロップFF1bに供給される。フリップフロップFF1bは、クロック(clk1a)によってアクティブになる。第2の出力信号XOR2aoutは、遅延ごとに論理1であり、第2のXOR回路XOR2aの2つの入力は、clk1aの時点で異なる論理状態にある。
ここで、図3に示されているものと比較して、IC故障予測のためのXOR型回路図のさらに異なるバージョンを示す図3Bを参照する。このバージョンでは、1つの遅延ライン回路を使用する2つのXOR型故障予測回路が提供される。言い換えれば、2つのデータパスが提供され、そのそれぞれは、図3または図3Aに示されるものに従い得る。第1の故障予測回路は、(上記の図3または図3Aを参照して説明したように)第1のデータパスからの1組の並列入力信号によって駆動される第1のXORコンポーネントXOR1aと、第2のXORコンポーネントXOR2aと、第1のクロック信号clk1aによってクロックされる第1のフリップフロップFF1bと、を備える。第2の故障予測回路は、(上記の図3または図3Aを参照して説明したように)第2のデータパスからの1組の並列入力信号によって駆動される第3のXORコンポーネントXOR1bと、第4のXORコンポーネントXOR2bと、第2のクロック信号clk1bによってクロックされる第フのフリップフロップFF1bと、を備える。共通遅延ラインD2は、マルチプレクサmuxによって、時分割モードで、第1のXORコンポーネントXOR1aの出力または第3のXORコンポーネントXOR1bの出力が共通遅延ラインD2への入力として提供されるかを選択することにより、2つの故障予測回路を提供する。これは、選択信号In/out selを使用して制御される。2つの故障予測回路のそれぞれの構成は、それ以外は図3Aに示されるとおりである。第1のクロック信号clk1aによってクロックされる第1のフリップフロップFF1bの出力および第2のクロック信号clk1bによってクロックされる第2のフリップフロップFF1bの出力は、ORゲートへの入力として提供されて出力信号MT-outを生成する。マルチプレクサmuxが第1のXORコンポーネントXOR1aの出力を遅延ラインD2の入力に接続すると、出力信号MT-outは、第1のクロック信号clk1aの時に第2のXORコンポーネントXOR2aの2つの入力が異なる論理状態にある場合、各遅延に対して論理1である。マルチプレクサmuxが第3のXORコンポーネントXOR1bの出力を遅延ラインD2の入力に接続すると、出力信号MT-outは、第2のクロック信号clk1bの時に第4のXORコンポーネントXOR2bの2つの入力が異なる論理状態にある場合、各遅延に対して論理1である。
概説的に言えば、信号パス結合器は、第1のデータソース(本明細書で説明するようにデータパスまたは他の信号のセットであり得る)から複数の信号を受信するように配設される第1の信号パス結合器であり、比較回路は、第1の比較回路である、とさらに考えられる。次に、ICは、複数の入力パスおよび出力を含む第2の信号パス結合器であって、第2の信号パス結合器の出力が、各入力パスで受信されたそれぞれの信号の組み合わせに基づき、信号が、第2のデータソースから受信される、第2の信号パス結合器をさらに備えるものと考えられる。次に、第1の信号パス結合器の出力、第2の信号パス結合器の出力を受信しかつ受信した選択信号に基づいて第1の信号パス結合器の出力または第2の信号パス結合器の出力を選択的に出力するように構成されるマルチプレクサを設けてもよい。マルチプレクサの出力は、遅延回路への入力として提供されてもよい(遅延回路が第1および第2の信号パス結合器の両方に共通であるように。ICは、第2の信号パス結合器の出力と遅延信号(これにより、第1および第2の比較回路の両方に共通であり得る)の比較に基づいて第2の比較出力を提供するように配設される第2の比較回路をさらに含み得る。ORゲートはさらに、第1の比較出力および第2の比較出力を入力として受信しかつ出力を少なくとも1つの軽減回路への比較データ信号として提供するように配設されてもよい。方法の態様を参照すると、これは、第2の信号パス結合器で複数の入力パスのそれぞれで受信されたそれぞれの信号を結合して出力を提供することであって、信号が、第2のデータソースから受信される、提供することと、第1の信号パス結合器の出力、第2の信号パス結合器の出力、およびマルチプレクサでの選択信号を受信し、選択信号に基づいて第1の信号パス結合器の出力または第2の信号パス結合器の出力を選択的に出力することであって、遅延するステップが、遅延回路で可変遅延時間によって第1の信号パス結合器の出力または第2の信号パス結合器の出力を遅延させて、遅延信号を出力するように、マルチプレクサの出力が遅延回路への入力として提供される、受信して出力することと、第2の信号パス結合器の出力と遅延信号とを比較して、第2の比較出力を提供することと、ORゲートで、第1の比較出力および第2の比較出力を入力として受信し、比較データ信号をORゲートからの出力として少なくとも1つの軽減回路に出力することと、をさらに含んでもよい。
任意選択で、第1のクロック信号によって制御される第1の比較記憶回路は、第1の比較出力を受信するように配設されてもよい。次に、(第1のクロック信号と同じであっても異なっていてもよい)第2のクロック信号によって制御される第2の比較記憶回路は、第2の比較出力を受信するように配設されてもよい。第1の比較記憶回路は、第1の比較出力をORゲートへの第1の入力として提供するように有利に配設され、第2の比較記憶回路は、第2の比較出力をORゲートへの第2の入力として提供するように配設される。
ここで、IC故障予測のためのMUX型回路図を示す図4を参照する。マルチプレクサ(Mux_sel)を使用して、1つ以上のデータパスを選択し、次に、本明細書で説明するように遅延タイミングフィンガープリントを検出する。MUX型MFPCの利点は、一度に1つの信号が遅延タイミング用に選択されるため、少ないデータ(ICの専用解析回路など)で故障を検出し得ることである。任意選択で、各タイプのMFPCの利点のいくつかを組み合わせた、MUX/XOR型ハイブリッドMFPCを使用してもよい。
ここで、アンチICエージングモードのための回路図を示す図5を参照する。この図は、MFPC回路が有効になっていない場合、すなわちMFPCクロックがゲートされている場合に、XOR回路を非アクティブにするアンチエージング手法を示す。回路が無効になっている場合、一定の論理遅延により、NBTI効果などのために回路の劣化が増す。NBTIの劣化を軽減するために、MFPCクロックがゲートされるたびにXOR回路が切り替えられる。あるいは、各信号はマージンの劣化について個別に監視される。図5は、IC回路の劣化および/またはエージングを補償するために実行され得る回路修正の代替実施形態のほんの一例である。多くの他の回路例が使用されてもよい。
本明細書で開示される手法は、他のタイプの論理パス/信号、パス長、および異なるタイプの生成およびサンプリング電子要素に拡張され得る。例えば、位相パス、ラッチベースの論理パス、ゲーテッドクロック論理パス、フリップフロップ(FF)の立ち下がりタイミング論理信号など。例えば、実施形態は、クロックパスの遅延劣化によって引き起こされるホールド故障(最小遅延)を検出してもよい。この例では、新しい遅延パス(D4など)がFF1のクロックとFF2の間に配置され、D4遅延値がFF2のクロックを遅延させる。
MFPCは、常にオンであるか、またはイネーブル信号によってアクティブにされる。例えば、イネーブル信号は、MFPCによってサンプリングされるFFのグループに対応するイネーブル信号の論理ORを表す。イネーブルがLowである場合、MFPCは、アンチICエージングモード検出に入り、専用クロックを使用してMFPCを切り替え、NBTIエージング効果を軽減し得る。
MFPCがICの大きな論理領域(FU)をカバーする場合、最初の動作でMFPCをタイミング遅延マージンシグネチャまたはICのフィンガープリントとして使用してもよい。経時的に、MFPCは、様々な時間にマージンシグネチャを測定して、ICの劣化/エージングの時間勾配を分析および検出してもよい。異なる勾配関数は、異なるタイプの欠陥と劣化モードに関連してもよい。
任意選択で、シグネチャは、複数の重複する遅延マージンを含み、いくつかの重要なタイミング遅延マージンはそれぞれ異なる時間勾配を持っていると識別され、それぞれが個別に分析されて将来のIC故障を予測する。例えば、非線形の時空間相関法を使用して、一連のシグネチャまたはフィンガープリントから複数のタイミング遅延マージンを同時に追跡し、各シグネチャまたはフィンガープリントは、重複するすべてのタイミング遅延の1次元ベクトルを表す。例えば、複数の1次元ベクトルの変換が実行されて、2次元以上のデータ表現が生成される。例えば、Laubeら、”Analyzing Relative Motion within Groups of Trackable Moving Point Objects”,in Lecture Notes in Computer Science (Egenhofer et al.-editors -Geographic Information Science,GIScience 2002),vol.2478(Springer,Berlin,Heidelberg),132-144頁が2002年に出版されており、その全ては参照により組み込まれる。
半導体集積回路の性能が経時的に劣化すると、物理的欠陥の進行により、ICの回路の遅延時間が徐々に長くなり得る。遅延時間がICのクロックサイクル時間を超えると、ICが故障する可能性がある。既存の欠陥検出技術では、故障が発生した後に欠陥を検出できることがあるが、顕著な故障が予測された場合には、予防保全が実行される。これは、故障のコストが高いアプリケーション(自律型車両など)、交換のコストが高いアプリケーション(衛星ICの故障など)、製品イメージの故障のコストが高いアプリケーション(結果として否定的なユーザエクスペリエンスが作成されるなど)などにとって特に重要である。本明細書に開示される手法を使用する集積回路(IC)の実施形態は、故障が発生する前に差し迫った故障を警告することができる故障予測回路およびシステムを含む。
例えば、上記の概括的な意味では、可変遅延時間は、ICのクロック周期を係数(「シグネチャベクトルサイズ」)で、好ましくは1~100,000で除算したものに等しい増分の整数倍で設定してもよい。
いくつかの実施形態では、故障予測回路は、両方がデータパス、メモリパス、論理パスなどのICの多数のパスから出力されるデータ信号を受信する一対の記憶コンポーネント(例えば、フリップフロップ)から構成される。オーバーヘッドを減らすために、データ信号は、ハミングコード、パリティコード、他のエラー訂正技術などを使用して、2つの記憶コンポーネントに保存される前に削減される。2つの記憶コンポーネントは、データ信号入力タイミング、クロック信号入力タイミング、入力信号の位相、データ信号の入力論理閾値などに関して互いに異なる。例えば、可変タイミング回路を使用して、フリップフロップのうちの1つへの信号を遅延させる。
FPCまたはMFPCは、(a)2つの記憶コンポーネントからの出力の一致または不一致、および(b)一致しない出力間の遅延がICのクロックサイクル時間にどれだけ近いかを決定する電子コンポーネントをさらに含む。
動作中、信号出力の一致または不一致が決定された後(XORコンポーネントを使用するなどして)、故障予測回路は、記憶コンポーネントのうちの1つの入力タイミング、クロック信号入力タイミング、または入力論理閾値を増やし、出力の一致または不一致が再度決定される。このサイクルは、少しずつ繰り返してもよい。
クロックサイクル時間に対して検知された遅延の相対的な長さ、ならびに使用された記憶コンポーネントの増分のログが保持される。傾向検出、組み合わせ分析、機械学習、回帰分析、異常検出などの分析をログデータに対して実行して、最短の論理パス遅延が後続のクロックサイクル時間を超える時など、ICの劣化がICの故障時に到達し得る時を推定してもよい。
この測定および/または推定は、いくつかの方法で利用され得る。ICが実装されているシステムのユーザに、マージン(遅延がICのクロックサイクル時間にどれだけ近いか)または推定故障時間を示した警告が発せられてもよい。さらに、エージェントが、クロック速度や電圧低下など、ICの動作変更を指示してもよく、これにより、故障が延期され、ICの寿命が延び得る。
少数のコンポーネントを使用してデータパス出力で論理回路を継続的に監視することにより、IC領域、電力などのリソースを既存の技術と比較して節約し得る。
例えば、入力信号は、ハミングコードを生成するために圧縮される(ハミング空間に圧縮される)。ハミングコードは、高次のエラー検出、訂正、および/または予測プロセスに使用してもよい。例えば、XOR型回路を使用して、すべてのデータパス信号を、モジュロ4論理演算を実装する2つの統合された信号パスに結合する。他のタイプの圧縮(ソース)コードを追加または代替として使用してもよい。
前述したように概説的に言えば、信号パス結合器(または信号パス結合器のうちの少なくとも1つ)が論理XOR結合器(図3または図3Aに示す)、ハミングパリティ結合器、およびマルチプレクサのうちの少なくとも1つを含むことが理解され得る。
この手法は、他のタイプの論理パスやサンプリングの順次要素に拡張してもよく、次に例を示す。
●位相パス
●ラッチ型論理パス
●ゲーテッドクロック論理パス
●データパス信号のフォール型論理パス
●メモリ入出力
故障予測回路は、常にオンであるか、またはデータパスの信号の論理和を表すイネーブル信号によってアクティブにされてもよい。イネーブルがLowの場合、故障予測回路は、専用クロックを使用して回路を切り替え、エージング効果を軽減する。
集積回路は、多数の同期およびタイミングに敏感な論理回路を実装してもよい。物理的な劣化により回路の遅延が増加すると、タイミング違反が発生し、回路の機能に影響を与える可能性がある。物理的な劣化は、エージングの影響、または使用中に発生した欠陥が原因である可能性がある。故障予測回路は、論理遅延マージンを経時的に追跡し、物理的な遅延劣化による故障を予測してもよい。
したがって、上述したように概説的に言えば、軽減回路は、通知回路(例えば、通知206または213を生成するように構成されたもの)、タイミング遅延測定(または推定)回路(例えば、タイミング遅延出力を提供するためのもの)、データ伝送回路、ICアンチエージング補償回路(例えば、上記の図5を参照して説明したようなもの)、および故障解析回路、からなる群のうちの少なくとも1つの回路であると考えられる。
軽減回路がデータ伝送回路である場合、それはコンピュータ化されたサーバに電子的に接続され得る。次に、コンピュータ化されたサーバは、(例えば、異なる時間および/または異なるデータソースに関して)比較データ信号の複数のインスタンスを受信するように有利に構成される。これにより、コンピュータ化されたサーバは、比較データ信号の故障予測分析を実行し得る。任意選択で、故障予測分析が事前定義された時間内にICの故障を予測すると、軽減モジュール(ICアンチエージング補償回路など)に通知を送信してもよい。比較データ信号の少なくともいくつかは、可変遅延時間の複数の値で生成されてもよく、および/または比較データ信号の少なくともいくつかは、可変遅延時間の複数の値からの少なくとも1つの値の複数のインスタンスから生成されてもよい。任意選択で、故障予測分析は、機械学習分析、傾向分析、複数オブジェクト追跡分析、および多変量分析のうちの少なくとも1つを含む。有利には、故障予測分析は、複数の異なるICから比較データ信号および/または故障予測分析結果を受信することを含む。
故障予測回路は、小さなIC領域と電力を使用して、ICの機能ユニットの出力上のデータパスの信号など、多数の論理回路を有利に継続的に監視する。
いくつかの実施形態では、コンピュータアルゴリズムを使用して、事前定義されたカバレッジごとにユニット内の故障予測回路の数を決定してもよい。ユニット内のメモリ回路やフリップフロップ回路などの設計データを使用してもよい。また、コンピュータアルゴリズムを使用して、ユニットクロックゲート信号ごとにFPCまたはMFPC回路を自動的に特定し、かつ最適な性能(最小数のFPCまたはMFPCで最大のインスタンスカバレッジ)のためにFPCまたはMFPC回路あたりの入力信号サイズを自動的に設定してもよい。
いくつかの実施形態では、故障予測回路内の遅延を較正してもよい。これは、設計データへの超高速相関パスを有するために、時間ゼロ(テスト中)で正確なマージン結果を提供するために行われてもよい。ある較正方法では、Post-Siのオンダイ(on-die)センサ(エージェント)に基づくPre-Si推定機能を使用して、Pre-Siで測定されたFPCまたはMFPC回路のマージンを、監視対象エンドポイント(FF)の最悪のケースのマージンに変換してもよい。
概説的に言えば、これは、軽減回路に提供された比較データ信号に基づいて、ICのタイミング遅延(特に初期または時間ゼロ動作時)を測定することまたは推定することを含むと考えられる。タイミング遅延は、複数の比較出力(単一の比較データ信号または複数の比較データ信号に含まれ得る)に基づいてもよく、例えば、複数の遅延時間のそれぞれについて結合、遅延、比較のステップを繰り返することにより決定される。
いくつかの実施形態では、X1..Xn+Xor1A+Xor2Aを通る遅延は、較正シフトを最小にするために、出力フリップフロップ(D3)に使用されるクロックに適用される遅延とバランスがとられている。
いくつかの実施形態では、デジタル論理回路などの、時間ゼロで抽出されたユニットまたはダイ内の大規模論理回路のタイミングマージンデータを追跡し、経時的に比較してもよい。追跡は、ICの遅延の変化および/または経年劣化によるタイミング障害を検出および/または予測してもよい。ここで図11を参照すると、ユニットのマージンマップ図が示されている。これは、寿命開始時のユニットマージンのフィンガープリントを表すユニットマージンマップの例である(マージンは同等のバッファ遅延で表される)。シグネチャは、チップ異常値の検出/スクリーニングに使用してもよい。言い換えれば、特定のICに一意のIDが与えられ、シグネチャは他のICと比較されて、大規模な製造スケールでの異常の検出を可能にする。マージンマップを経時的に追跡して、様々な時間でのマージンシグネチャを測定し、ICの劣化またはエージングの時間勾配を分析および検出できる。異なる勾配関数は、異なるタイプの欠陥と劣化モードに関連してもよい。
いくつかの実施形態では、ダイのマージンデータを収集して、ダイ分類および異常検出プロセスに使用することができる。これは、ダイ内のユニットのマージンデータを収集し、MLアルゴリズムを使用して、オンダイセンサに基づく推定機能を構築することによって行われる。詳細は、2018年4月16日に出願された、「INTEGRATED CIRCUIT PROFILING AND ANOMALY DETECTION」と題する米国特許仮出願第62/675,986号に記載されており、その内容のすべては参照により本明細書に組み込まれる。
いくつかの実施形態では、特定の実行中のアプリケーションごとにマージンデータを分析して、アプリケーションベースの周波数/電力ビニングを生成できる。
以下は、すべてのパスが独立しているという仮定に依存し得る数学的証明である。簡単にするために、証明は、図3に記載された回路を使用して実行される。この証明は、XOR2aが対称的であるという仮定の下で、図3Aで説明されている回路にも有効である。この仮定は、必要に応じて少なくともいくつかの依存パスの場合には、適切な修正を加えて緩和し得る。任意の時間をtで表し、パス
Figure 0007130757000001
(時間tでのパスi)のマージンを
Figure 0007130757000002
で表す。以下では、クロックサイクルをTで表す。
定理1:時間tで
A.
Figure 0007130757000003
の場合、XOR2の出力は常に0’である。
B.
Figure 0007130757000004
の場合、XOR2の出力は、任意の確率Pで1’とし得る。
定理2:定理1の第二のケース
Figure 0007130757000005
の場合、確率Pは、
Figure 0007130757000006
より大きい、式中、
Figure 0007130757000007
推論:任意の劣化によって、
Figure 0007130757000008
式中、t>tである。次いで、D2の場合、
Figure 0007130757000009
XOR2の出力は、時間tで0’であり、tでの任意の確率で1’である。
定理1の証明:
ケースA:XOR1の入力のすべては時間ウィンドウで変化していないので
Figure 0007130757000010
FF1とFF2は同じ値が含まれているので、XOR2の出力が0であることになる。
ケースB:XOR1を3つのXOR(XORa、XORb、XORc)で表す。それらの入力は次のとおりである。
●XORa:定数0’、およびすべてのバスiについて
Figure 0007130757000011
●XORb:定数0’、およびすべてのバスiについて
Figure 0007130757000012
●XORc:XORaおよびXORbの出力。
次に、時間ウィンドウ[T-D,T]で、
●XORaの出力は、その間に入力が変化する可能性があるため、多少変化する可能性がある。
●XORbの出力は一定である。
したがって、XORcの出力(実際にはXOR1の出力である)は、任意の確率で時間ウィンドウ(T-D2,T]で変更される可能性があるため、XOR2の出力は1’になる可能性がある。
定理2の証明:
定理1の証明と同じXOR1の表現を使用することにする。次に、XOR2の出力が1’となる確率Pは、XORaの出力が連続する2サイクルで変化する確率である。その確率は、2qout(1-qout)であり、式中、qoutは、XORaの出力が0’である確率である。
次に、XORaを2つのXOR(XORa1とXORa2)で表す。それらの入力は次のとおりである。
●XORa1:q(1-q)がXORaのすべての入力とXORa2の出力の中で最大になる信号x。なお、XORa1の出力は実際にはXORaの出力である。
●XORa2:信号xを除くXORaのすべての入力(q(1-q)が最大である)。
次に、補助定理1により、qa1(1-qa1)(qa1はXORa1の出力が0’である確率)がq(1-q)より大きいことになる。
したがって、XORa1の出力は、実際にはXORaの出力なので、定理2が得られる。
補助定理1:aおよびbを、それぞれ、0’の場合の確率がqおよびqである信号として表すものとする。次に、q(1-q)≧max q(1-q)、q(1-q)、式中、qはXOR(a,b)の出力が0’である確率を表す。
補助定理1の証明:
一般性を失うことなく、q(1-q)=max q(1-q)、q(1-q)と仮定する。次に、簡単な代数によって、
Figure 0007130757000013
となり、式中、Δ=1-2qである。
さらに、XOR定義により、q=q+(1-q)(1-q)となる。したがって、上記の代数によって、
Figure 0007130757000014
となり、式中、Δ=1-2qである。
また、qの定義により、
Δ=1-2(2q-q-q+1)=-1-4q+2q+2q=Δ(-1+2q
したがって、|-1+2Q|1であるので、
Figure 0007130757000015
となる。これで補助定理1が得られる。
任意の時間間隔で、MUX型MFPCは、XOR型MFPCの特殊なケースであると考えられる。したがって、以下のXORベースのバージョンの数学的証明は、MUXベースのバージョンにも当てはまる。
実験結果
以下はシミュレーション実験の結果である。
ここで、IC故障予測のための信号遅延のタイミング図を示す図6を参照する。信号定義は、Di≒U(Xi,Xi+di)、およびP{V(Si)=1,tj}=P{V(Si)=0,tj}=1/2とし得る。図6は、シミュレーション設定を使用したイベントベースのシミュレーション記述を示す。
●XOR1は、256の入力パスを監視していた。
●各パスのデータ長は、10クロックサイクルであった。
●クロックサイクル時間を100時間単位として定義した。
●信号[Si]を、各パス[i]に対して生成した(詳細な説明は次ページにある)。
●各パス[i]を、各クロックサイクルあたりの遅延を決定する2つの定数[Xi]および[di]によって定義した。
●[Xi]を、25~50時間単位の間の均一な分布によって各パスに描画した。
●[di]を、パスごとに、0~25時間単位の間の均一な分布によって描画した。
●信号iについて、各サイクルの切り替え時間を(Xi,Xi+di)の範囲で均一に描画した。
●よって、信号iのマージンは、[100-Xi-di]である。
実験は、D2の各値について行われ、D2は、時間単位で定義され、D2遅延値は、シグネチャの個別のタイミング遅延マージンを解明するために必要な分解能、クロック周期時間の分数の分解能などの値でスイープされた。各D2値について、XOR2出力遷移がカウントされてもよく、カウント数はマージンタイミング値の閾値に対してプロットされる。X軸のマージン閾値は、100-D2としてよく、Y軸は、特定のD2の値で観測されたXOR2の出力での[1]の数としてよい。
XOR1(t=100)≠XOR1(=D2)の場合、XOR2=1
ここで、第1の実験についてのエラー対サイクル時間のグラフを示す図7を参照する。実線は、時間ゼロ(劣化なし)でのMFPC出力を表し、破線は、劣化後のMFPC出力を表す。最小マージンは、[100-75]などの25時間単位に等しく、故障時のMaxD2は、75時間単位であった。劣化シナリオでは、1つのパスのマージンが15時間単位だけ削減され(マージンは均一に分散され、最大値は15時間単位だけ移動された)、MFPCがマージンの変化を検出する。ここでは、最小マージンは、[100-75-15]などの10時間単位に等しく、故障時のMaxD2は、90時間単位であった。グラフは、XOR2出力のカウントが徐々にゼロまで減少することを示している。[75-90]の範囲の各D2について:
P(XOR2=1)=P(変化、遅延>D2)=0.5*(90-(100-D2))/(di+15)
ここで、第2の実験についてのエラー対サイクル時間のグラフを示す図8を参照する。実線は、時間ゼロ(劣化なし)でのMFPC出力を表し、破線は、劣化後のMFPC出力を表す。[Xi+di>70](マージン<30)のすべてのパスの最小マージンが15時間単位だけ増加し、これは5つの信号パスに対して実行された。最小マージンは、[100-75]などの25時間単位に等しく、故障時のMaxD2は、75時間単位であった。MFPCは、マージンの変更を検出し、最小マージンは、[100-75-15]などの10時間単位に等しく、故障時のMaxD2は、90時間単位であった。XOR2出力のカウントは、徐々にゼロまで減少する。故障の確率は、パスの数とともに増加した。
ここで、IC故障予測のための2つの信号遅延のタイミング図を示す図9(上側の図)を参照する。複数の信号が同時に切り替えられ、各サイクルで等しい遅延と論理値が使用される。複製された信号が、最小のマージンで実装されている。[Xi+di]の最大値が示されており、重複したパスの遅延は、15時間単位だけ増加した。いずれのパスも同じ劣化を実装する。
ここで、第3の実験についてのエラー対サイクル時間のグラフを示す図10を参照する。実線は、劣化なしを表し、破線(実線と同様)は、第1の劣化シナリオ(図9の上側の線)を表し、一点鎖線は、複製されたパスのうちの1つの遅延がさらに5時間単位だけ増加した第2の劣化シナリオを表す(図9の下側の線)。なお、複製信号の遅延は、ベース信号に対して5時間単位だけ小さい。2つのパスは、論理的には同じあるが、タイミングの劣化が異なる。最小マージンは、[100-75]など、25時間単位に等しかった。第1の劣化シナリオ(破線)では、システムがマージンの変化を検出しない。故障時のMaxD2は、両方のシナリオで75時間単位に相当する。第2の劣化シナリオ(一点鎖線)では、システムは、マージンの変化を検出する。故障時のMaxD2は、95時間単位であった。
この出願全体を通して、本発明の様々な実施形態は、範囲形式で提示され得る。範囲形式での説明は、単に便宜および簡潔さのためであり、本発明の範囲に対する柔軟性のない限定として解釈されるべきではないことを理解されたい。したがって、範囲の説明は、すべての可能な部分範囲とその範囲内の個々の数値を具体的に開示しているとみなされるべきである。例えば、1~6などの範囲の説明は、1~3、1~4、1~5、2~4、2~6、3~6などの具体的に開示された部分範囲、ならびにその範囲内の個々の番号、例えば1、2、3、4、5、6、があると考えられる。これは、範囲の幅に関係なく適用される。
本明細書で数値範囲が示される場合は常に、示された範囲内の任意の引用された数字(分数または整数)を含むことを意味する。第1の表示数~第2の表示数の「間の範囲にある/範囲」および第1の表示数「~」第2の表示数の「範囲にある/範囲」という表現は、本明細書では互換的に使用され、第1および第2の表示数ならびにそれらの間のすべての分数と整数の数字を含むことを意味する。
本出願の説明および特許請求の範囲において、「備える(comprise)」、「含む(include)」および「有する(have)」という語、ならびにそれらの形態のそれぞれは、必ずしも、その語が関連付けられ得るリスト内のメンバーに限定されない。さらに、本出願と参照により組み込まれる任意の文書との間に不一致がある場合、本出願が支配することがここに意図されている。
この開示における参照を明確にするために、名詞を一般名詞、固有名詞、名前付き名詞などとして使用することは、本発明の実施形態が単一の実施形態に限定されることを示唆するものではなく、開示されたコンポーネントの多くの構成は、本発明のいくつかの実施形態を説明するために使用することができるが、他の構成は、異なる構成のこれらの実施形態から導出することができることに留意されたい。。
明確にするために、本明細書で説明される慣例の機構のすべてが示され、説明されるわけではない。もちろん、そのような実際の実装の開発では、アプリケーションやビジネス関連の制約の遵守など、開発者の特定の目標を達成するために、実装固有の多数の決定を行う必要があること、およびこれらの特定の目標は、実装ごと、開発者ごとに異なる。さらに、そのような開発努力は複雑で時間がかかるかもしれないが、それでも、本開示の利益を享受する当業者にとってはエンジニアリングの慣例業務であることは理解されるであろう。
この開示の教示に基づいて、当業者は本発明を容易に実施できることが期待される。本明細書で提供される様々な実施形態の説明は、当業者が本発明を実施することを可能にする本発明の十分な洞察および詳細を提供すると考えられている。さらに、上記の本発明の様々な特徴および実施形態は、単独で、ならびに様々な組み合わせで使用されることが具体的に企図されている。
従来のおよび/または現代の回路設計およびレイアウトツールを使用して、本発明を実施してもよい。本明細書で説明する特定の実施形態、特に、様々な層の様々な厚さおよび組成は、例示的な実施形態の例示であり、本発明をそのような特定の実装の選択に限定するものとみなすべきではない。したがって、本明細書で単一のインスタンスとして説明されているコンポーネントに対して、複数のインスタンスが提供され得る。
回路と物理構造が概説的に推定されているが、現代の半導体設計と製造において、物理構造と回路は、その後の設計、テスト、または製造段階での使用に適したコンピュータで読み取り可能な記述形式で、ならびにその結果として製造された半導体集積回路において実施されてもよい。したがって、従来の回路または構造に向けられた請求項は、その特定の言語に一致して、対応する回路および/または構造の製造、テスト、または設計の改良を可能にするために媒体で具体化されるか、適切な読み取り機能と組み合わされるかどうかにかかわらず、コンピュータ可読エンコーディングおよびその表現に基づいて読む出してもよい。例示的な構成において別個の構成要素として提示される構造および機能は、組み合わされた構造または構成要素として実装されてもよい。本発明は、そのすべてが本明細書に記載され、添付の特許請求の範囲に定義される、回路、回路のシステム、関連方法、およびそのような回路、システム、および方法のコンピュータ可読媒体エンコーディングを含むことが企図される。本明細書で使用される場合、コンピュータ可読媒体には、少なくともディスク、テープ、または他の磁気、光学、半導体(例えば、フラッシュメモリカード、ROM)、または電子媒体、ならびにネットワーク、有線、無線または他の通信媒体が含まれる。
前述の詳細な説明は、本発明の多くの可能な実装のうちのほんのいくつかを説明した。このため、この詳細な説明は、限定ではなく例示を目的としている。本明細書に開示された実施形態の変形および修正は、本発明の範囲および趣旨から逸脱することなく、本明細書に記載された説明に基づいて行ってもよい。本発明の範囲を定義することが意図されているのは、すべての均等物を含む以下の請求項のみである。特に、好ましい実施形態は、半導体ICのためのいくつかの特定の回路設計の1つの文脈で説明されているが、本発明の教示は、他のタイプの半導体IC回路での使用に有利であると考えられる。さらに、本明細書で説明される手法は、他のタイプの回路用途に適用されてもよい。したがって、他の変形、修正、追加、および改善は、以下の特許請求の範囲で定義される本発明の範囲内に含まれ得る。
本発明の実施形態を使用して、集積回路および/または集積回路に基づく製品を製造、生産、および/または組み立ててもよい。
本発明の態様は、本発明の実施形態による方法、装置(システム)、およびコンピュータプログラム製品のフローチャート図および/またはブロック図を参照して本明細書で説明される。フローチャート図および/またはブロック図の各ブロック、ならびにフローチャート図および/またはブロック図のブロックの組み合わせは、コンピュータ可読プログラム命令によって実装できることが理解されよう。
図中のフローチャートおよびブロック図は、本発明の様々な実施形態によるシステム、方法、およびコンピュータプログラム製品の可能な実装のアーキテクチャ、機能、および動作を示している。これに関して、フローチャートまたはブロック図の各ブロックは、指定された論理機能を実装するための1つ以上の実行可能な命令を含む命令のモジュール、セグメント、または部分を表し得る。一部の代替実装では、ブロックに記載されている機能が、図に記載されている順序とは異なる順序で発生する場合がある。例えば、連続して示されている2つのブロックは、実際には、実質的に同時に実行される場合があり、またはそのブラックは、関与する機能に応じて逆の順序で実行される場合があってもよい。ブロック図および/またはフローチャート図の各ブロック、およびブロック図および/またはフローチャート図のブロックの組み合わせは、指定された機能または動作を実行するか、または専用ハードウェアとコンピュータ命令の組み合わせを実行する特定目的のハードウェアベースのシステムによって実装できることにも注意されたい。
本発明の様々な実施形態の説明は、例示の目的で提示されたが、網羅的であること、または開示された実施形態に限定されることは意図されていない。説明された実施形態の範囲および趣旨から逸脱することなく、多くの修正および変形が当業者には明らかであろう。本明細書に開示される特徴および/または態様の組み合わせは、FPCまたはMFPCの異なる実施形態間または他の設計の他の特徴および/または他の特徴の図面の間でさえも可能である。本明細書で使用される用語は、実施形態の原理、実際の応用、または市場で見られる技術に対する技術的改善を最もよく説明するか、または当業者が本明細書で開示される実施形態を理解できるようにするために選択された。

Claims (14)

  1. システムであって、
    コンピュータ化されたサーバと、
    半導体集積回路(IC)であって
    複数の入力パスおよび出力を備える信号パス結合器であって、前記出力が、前記入力パスの各々で受信されたそれぞれの信号の組み合わせに基づく、信号パス結合器と、
    前記信号パス結合器の出力に電気的に接続された入力を有する遅延回路であって、前記遅延回路が、入力信号を可変遅延時間だけ遅延させて遅延信号を出力する、遅延回路と、
    前記信号パス結合器の出力と前記遅延信号との比較に基づいて比較出力を提供するように配設された比較回路であって、前記比較出力が、前記コンピュータ化されたサーバに電子的に接続されたデータ伝送回路を備える少なくとも1つの軽減回路への比較データ信号内で提供される、比較回路と、
    を備える、ICと、
    を備える、システムであり、
    前記コンピュータ化されたサーバが、
    前記比較データ信号の複数のインスタンスを受信し、
    前記比較データ信号の故障予測分析を実行し、
    前記故障予測分析が事前定義された時間内に前記ICの故障を予測するときに、軽減モジュールに通知を送信する
    ように構成される、システム
  2. 前記軽減回路が、
    (i)通知回路、
    (ii)タイミング遅延測定回路
    iii)ICアンチエージング補償回路、および
    iv)故障解析回路、
    からなる群からの少なくとも1つの回路をさらに備える、請求項1に記載のシステム
  3. 前記信号パス結合器が、論理XOR結合器、ハミングパリティ結合器、およびマルチプレクサからなる群からの少なくとも1つである、請求項1または請求項2に記載のシステム
  4. 前記信号パス結合器の出力に電気的に接続され、かつ前記信号パス結合器の記憶された出力を前記比較回路への第1の入力として提供するように配設された第1の内部記憶回路と、
    前記遅延信号に電気的に接続されかつ前記遅延信号を前記比較回路への第2の入力として提供するように配設された第2の内部記憶回路と、
    をさらに備える、請求項1乃至3のいずれか一項に記載のシステム
  5. 前記信号パス結合器が、第1のデータソースから複数の信号を受信するように配設された第1の信号パス結合器であり、前記比較回路が、第1の比較回路であり、前記比較出力が、第1の比較出力であり、前記ICが、
    複数の入力パスおよび出力を備える第2の信号パス結合器であって、前記第2の信号パス結合器の出力が、前記入力パスの各々で受信されたそれぞれの信号の組み合わせに基づき、前記信号が、第2のデータソースから受信される、第2の信号パス結合器と、
    前記第1の信号パス結合器の出力、前記第2の信号パス結合器の出力、および選択信号を受信し、かつ前記選択信号に基づいて前記第1の信号パス結合器の出力または前記第2の信号パス結合器の出力を選択的に出力するように構成されたマルチプレクサであって、前記マルチプレクサの出力が、前記遅延回路への入力として提供される、マルチプレクサと、
    前記第2の信号パス結合器の出力と前記遅延信号との比較に基づいて第2の比較出力を提供するように配設された第2の比較回路と、
    入力として前記第1の比較出力および前記第2の比較出力を受信し、かつ出力を前記少なくとも1つの軽減回路への比較データ信号として提供するように配設されたORゲートと、
    をさらに備える、請求項1乃至4のいずれか一項に記載のシステム
  6. 前記ICが、
    前記第1の比較出力を受信するように配設され、かつ第1のクロック信号によって制御される第1の比較記憶回路と、
    前記第2の比較出力を受信するように配設され、かつ第2のクロック信号によって制御される第2の比較記憶回路と、
    をさらに備え、
    前記第1の比較記憶回路が、前記第1の比較出力を前記ORゲートへの第1の入力として提供するように配設され、前記第2の比較記憶回路が、前記第2の比較出力を前記ORゲートへの第2の入力として提供するように配設される、請求項5に記載のシステム
  7. 前記可変遅延時間が、シグネチャベクトルサイズで除算された前記ICのクロック周期に等しい増分の整数倍に設定され、前記シグネチャベクトルサイズが、1と100,000との間にある、請求項1乃至4のいずれか一項に記載のシステム
  8. 半導体集積回路(IC)を使用するための方法であって、前記方法が、
    信号パス結合器で複数の入力パスの各々で受信されたそれぞれの信号を結合して出力を提供することと、
    遅延回路で可変遅延時間だけ前記信号パス結合器の出力を遅延させて遅延信号を出力することと、
    前記信号パス結合器の出力と前記遅延信号とを比較して比較出力を提供し、前記比較出力を、コンピュータ化されたサーバに電子的に接続されたデータ伝送回路を備える少なくとも1つの軽減回路への比較データ信号内で提供することと、
    前記コンピュータ化されたサーバで前記比較データ信号の複数のインスタンスを受信することと、
    前記コンピュータ化されたサーバで前記比較データ信号の故障予測分析を実行することと、
    前記故障予測分析が事前定義された時間内に前記ICの故障を予測するときに、前記コンピュータ化されたサーバから軽減モジュールに通知を送信することと、
    を備える、方法。
  9. 前記信号パス結合器が、論理XOR結合器、ハミングパリティ結合器、およびマルチプレクサからなる群からの少なくとも1つである、請求項8に記載の方法。
  10. 前記比較データ信号のうちの少なくともいくつかが、
    前記可変遅延時間の複数の値で、かつ
    前記可変遅延時間の複数の値からの少なくとも1つの値の複数のインスタンスから
    生成される、請求項に記載の方法。
  11. 前記故障予測分析が、
    機械学習分析、傾向分析、複数オブジェクト追跡分析、および多変量分析のうちの少なくとも1つ、
    複数の異なるICから比較データ信号を受信すること、および
    複数の異なるICから故障予測分析結果を受信すること
    のうちの少なくとも1つを備える、請求項または請求項10に記載の方法。
  12. 前記信号パス結合器の複数の入力パスの各々が、前記IC内のそれぞれのデータソースからの信号、メモリ回路からの信号、およびクロックイネーブルによってグループ化された複数の論理回路のうちの1つからの信号、のうちの1つを受信するように構成される、請求項8乃至11のいずれか一項に記載の方法。
  13. 前記方法が、前記ICの初期動作で行われ、前記方法が、
    前記少なくとも1つの軽減回路に提供された比較データ信号に基づいて、前記ICについてのタイミング遅延を測定すること
    をさらに備える、請求項8乃至12のいずれか一項に記載の方法。
  14. 複数の比較出力を提供するために、複数の遅延時間の各々について、結合すること、遅延すること、および比較することのステップを繰り返すことと、
    前記複数の比較出力に基づいて前記ICについての識別特性を決定することと、
    前記ICについての識別特性における変化を経時的に追跡することと、
    をさらに備える、請求項8乃至13のいずれか一項に記載の方法。
JP2020545016A 2017-11-15 2018-11-15 集積回路マージン測定および故障予測装置 Active JP7130757B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022133215A JP2022172206A (ja) 2017-11-15 2022-08-24 集積回路マージン測定および故障予測装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762586423P 2017-11-15 2017-11-15
US62/586,423 2017-11-15
PCT/IL2018/051234 WO2019097516A1 (en) 2017-11-15 2018-11-15 Integrated circuit margin measurement and failure prediction device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022133215A Division JP2022172206A (ja) 2017-11-15 2022-08-24 集積回路マージン測定および故障予測装置

Publications (3)

Publication Number Publication Date
JP2021503091A JP2021503091A (ja) 2021-02-04
JP2021503091A5 JP2021503091A5 (ja) 2021-12-23
JP7130757B2 true JP7130757B2 (ja) 2022-09-05

Family

ID=66538531

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020545016A Active JP7130757B2 (ja) 2017-11-15 2018-11-15 集積回路マージン測定および故障予測装置
JP2022133215A Pending JP2022172206A (ja) 2017-11-15 2022-08-24 集積回路マージン測定および故障予測装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022133215A Pending JP2022172206A (ja) 2017-11-15 2022-08-24 集積回路マージン測定および故障予測装置

Country Status (8)

Country Link
US (3) US11385282B2 (ja)
EP (2) EP4328596A2 (ja)
JP (2) JP7130757B2 (ja)
KR (2) KR20230020571A (ja)
CN (2) CN116256624A (ja)
IL (2) IL306080A (ja)
TW (2) TWI806927B (ja)
WO (1) WO2019097516A1 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230020571A (ko) 2017-11-15 2023-02-10 프로틴텍스 엘티디. 집적 회로 마진 측정 및 고장 예측 장치
US11391771B2 (en) 2017-11-23 2022-07-19 Proteantecs Ltd. Integrated circuit pad failure detection
US11740281B2 (en) 2018-01-08 2023-08-29 Proteantecs Ltd. Integrated circuit degradation estimation and time-of-failure prediction using workload and margin sensing
US11408932B2 (en) 2018-01-08 2022-08-09 Proteantecs Ltd. Integrated circuit workload, temperature and/or subthreshold leakage sensor
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
EP3811246A4 (en) 2018-06-19 2022-03-23 Proteantecs Ltd. EFFICIENT SIMULATION AND TESTING OF AN INTEGRATED CIRCUIT
WO2020141516A1 (en) 2018-12-30 2020-07-09 Proteantecs Ltd. Integrated circuit i/o integrity and degradation monitoring
EP4045923A4 (en) * 2019-10-18 2023-07-19 Minima Processor Oy METHOD, ARRANGEMENT AND COMPUTER PROGRAM PRODUCT FOR ORGANIZING EXCITATION OF PROCESSING PATHS FOR TESTING A MICROELECTRIC CIRCUIT
EP4070315A4 (en) 2019-12-04 2023-11-29 Proteantecs Ltd. MONITORING DEGRADATION OF A STORAGE DEVICE
CN113447799B (zh) * 2020-03-27 2022-06-14 阿里巴巴集团控股有限公司 集成电路、信息收集方法、设备
US11458997B2 (en) 2020-03-31 2022-10-04 Uatc, Llc Autonomous vehicle computing system with processing assurance
KR20230003545A (ko) 2020-04-20 2023-01-06 프로틴텍스 엘티디. 다이-대-다이 접속성 모니터링
CN114062889A (zh) * 2020-08-04 2022-02-18 瑞昱半导体股份有限公司 检测电路运行速度的余量的装置
KR20230037959A (ko) 2021-09-10 2023-03-17 삼성전자주식회사 반도체 메모리 장치 및 메모리 시스템
TW202328964A (zh) * 2021-11-15 2023-07-16 以色列商普騰泰克斯有限公司 用於劣化估計和故障時間預測的積體電路模擬器
US11967358B2 (en) * 2022-05-26 2024-04-23 Micron Technology, Inc. Apparatuses and methods for bias temperature instability mitigation
US11815551B1 (en) 2022-06-07 2023-11-14 Proteantecs Ltd. Die-to-die connectivity monitoring using a clocked receiver
TWI810016B (zh) * 2022-08-11 2023-07-21 易華電子股份有限公司 軟性電路板檢測系統

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000215693A (ja) 1999-01-19 2000-08-04 Mitsubishi Electric Corp 同期型半導体記憶装置およびそのテスト方法
JP2002243800A (ja) 2001-02-16 2002-08-28 Nec Microsystems Ltd 半導体集積回路の異常検出回路および異常検出装置
JP2008147245A (ja) 2006-12-06 2008-06-26 Toshiba Corp 劣化診断回路及び半導体集積回路
WO2013027739A1 (ja) 2011-08-24 2013-02-28 日本電気株式会社 劣化診断回路および劣化診断方法
US20130293270A1 (en) 2012-05-04 2013-11-07 Fairchild Korea Semiconductor Ltd. Switch controller, switch control method, and power supply device comprising the switch controller

Family Cites Families (156)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5994086A (ja) * 1982-11-19 1984-05-30 Advantest Corp 論理回路試験装置
US5548539A (en) 1993-11-05 1996-08-20 Analogy, Inc. Analysis mechanism for system performance simulator
AU685950B2 (en) 1994-06-25 1998-01-29 Panalytical B.V. Analysing a material sample
US5818251A (en) 1996-06-11 1998-10-06 National Semiconductor Corporation Apparatus and method for testing the connections between an integrated circuit and a printed circuit board
US5966527A (en) 1996-10-28 1999-10-12 Advanced Micro Devices, Inc. Apparatus, article of manufacture, method and system for simulating a mass-produced semiconductor device behavior
US5956497A (en) 1997-02-26 1999-09-21 Advanced Micro Devices, Inc. Methodology for designing an integrated circuit using a reduced cell library for preliminary synthesis
US5774403A (en) 1997-06-12 1998-06-30 Hewlett-Packard PVT self aligning internal delay line and method of operation
US6182253B1 (en) 1997-07-16 2001-01-30 Tanisys Technology, Inc. Method and system for automatic synchronous memory identification
US5895629A (en) 1997-11-25 1999-04-20 Science & Technology Corp Ring oscillator based chemical sensor
JP2001075671A (ja) * 1999-09-08 2001-03-23 Nec Corp 位相補償回路
US6586921B1 (en) 2000-05-12 2003-07-01 Logicvision, Inc. Method and circuit for testing DC parameters of circuit input and output nodes
US7067335B2 (en) 2000-08-25 2006-06-27 Kla-Tencor Technologies Corporation Apparatus and methods for semiconductor IC failure detection
US6873926B1 (en) 2001-02-27 2005-03-29 Cisco Technology, Inc. Methods and apparatus for testing a clock signal
US6637014B2 (en) * 2001-03-06 2003-10-21 Nec Corporation Crosstalk mitigation method and system
US8417477B2 (en) 2001-05-24 2013-04-09 Test Acuity Solutions, Inc. Methods and apparatus for local outlier detection
US7003742B2 (en) 2002-01-10 2006-02-21 Pdf Solutions, Inc. Methodology for the optimization of testing and diagnosis of analog and mixed signal ICs and embedded cores
US7076678B2 (en) 2002-02-11 2006-07-11 Micron Technology, Inc. Method and apparatus for data transfer
US6882172B1 (en) 2002-04-16 2005-04-19 Transmeta Corporation System and method for measuring transistor leakage current with a ring oscillator
US6880136B2 (en) 2002-07-09 2005-04-12 International Business Machines Corporation Method to detect systematic defects in VLSI manufacturing
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US6683484B1 (en) 2002-12-19 2004-01-27 Lsi Logic Corporation Combined differential and single-ended input buffer
US7369893B2 (en) 2004-12-01 2008-05-06 Medtronic, Inc. Method and apparatus for identifying lead-related conditions using prediction and detection criteria
US7512503B2 (en) 2003-05-12 2009-03-31 Simmonds Precision Products, Inc. Wire fault detection
US7254507B2 (en) 2003-08-27 2007-08-07 Matsushita Electric Industrial Co., Ltd. Analog circuit automatic calibration system
US7742887B2 (en) 2003-11-24 2010-06-22 Qualcomm Incorporated Identifying process and temperature of silicon chips
US6948388B1 (en) 2003-12-18 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy Wireless remote sensor
US20050134350A1 (en) * 2003-12-19 2005-06-23 Huang Lawrence L. Analog delay circuit
US7205854B2 (en) 2003-12-23 2007-04-17 Intel Corporation On-chip transistor degradation monitoring
GB0403481D0 (en) 2004-02-17 2004-03-24 Transense Technologies Plc Interrogation method for passive sensor monitoring system
US20060007204A1 (en) 2004-06-29 2006-01-12 Damoder Reddy System and method for a long-life luminance feedback stabilized display panel
US20060049886A1 (en) 2004-09-08 2006-03-09 Agostinelli Victor M Jr On-die record-of-age circuit
US7443189B2 (en) 2005-02-02 2008-10-28 Texas Instruments Incorporated Method to detect and predict metal silicide defects in a microelectronic device during the manufacture of an integrated circuit
US7501832B2 (en) 2005-02-28 2009-03-10 Ridgetop Group, Inc. Method and circuit for the detection of solder-joint failures in a digital electronic package
KR100611742B1 (ko) 2005-03-31 2006-08-11 한국전자통신연구원 Rc 추출에 의한 ibis 모델의 spice동작모델로의 전환방법
KR100703976B1 (ko) 2005-08-29 2007-04-06 삼성전자주식회사 동기식 메모리 장치
US7923982B2 (en) 2005-09-02 2011-04-12 Panasonic Corporation Semiconductor integrated circuit
US7455450B2 (en) 2005-10-07 2008-11-25 Advanced Micro Devices, Inc. Method and apparatus for temperature sensing in integrated circuits
US20070110199A1 (en) 2005-11-15 2007-05-17 Afshin Momtaz Receive equalizer with adaptive loops
US20070182456A1 (en) 2005-11-21 2007-08-09 Texas Instruments Incorporated Reducing Pin Count When the Digital Output is to be Provided in Differential or Single-ended Form
WO2007141870A1 (ja) 2006-06-09 2007-12-13 Fujitsu Limited 温度センサ用リングオシレータ、温度センサ回路及びこれを備える半導体装置
JP5083214B2 (ja) 2006-08-24 2012-11-28 日本電気株式会社 故障予測回路と方法及び半導体集積回路
US7649559B2 (en) 2006-08-30 2010-01-19 Aptina Imaging Corporation Amplifier offset cancellation devices, systems, and methods
JP2008072045A (ja) 2006-09-15 2008-03-27 Oki Electric Ind Co Ltd 半導体集積回路
US20080231310A1 (en) 2006-10-20 2008-09-25 Stmicroelectronics Pvt. Ltd. Flexible on chip testing circuit for i/o's characterization
US7415387B2 (en) 2006-12-19 2008-08-19 Qimonda North America Corp. Die and wafer failure classification system and method
US20080144243A1 (en) 2006-12-19 2008-06-19 Ridgetop Group, Inc. Method and circuit for low-power detection of solder-joint network failures in digital electronic packages
US7474974B2 (en) 2007-01-31 2009-01-06 Mcgill University Embedded time domain analyzer for high speed circuits
US7560945B2 (en) 2007-02-06 2009-07-14 International Business Machines Corporation Integrated circuit failure prediction
US7936153B2 (en) 2007-02-06 2011-05-03 International Business Machines Corporation On-chip adaptive voltage compensation
US7877657B1 (en) 2007-03-29 2011-01-25 Integrated Device Technology, Inc. Look-ahead built-in self tests
US20080262769A1 (en) 2007-04-23 2008-10-23 Daniel Kadosh Using multivariate health metrics to determine market segment and testing requirements
EP2145196A1 (en) 2007-05-02 2010-01-20 Nxp B.V. Ic testing methods and apparatus
EP2006784A1 (en) 2007-06-22 2008-12-24 Interuniversitair Microelektronica Centrum vzw Methods for characterization of electronic circuits under process variability effects
US8001512B1 (en) 2007-06-26 2011-08-16 Cadence Design Systems, Inc. Method and system for implementing context simulation
JP5242959B2 (ja) 2007-07-11 2013-07-24 シャープ株式会社 異常要因特定方法およびシステム、上記異常要因特定方法をコンピュータに実行させるためのプログラム、並びに上記プログラムを記録したコンピュータ読み取り可能な記録媒体
JP2009021378A (ja) 2007-07-11 2009-01-29 Nec Electronics Corp 半導体集積回路の生産方法、設計方法及び設計システム
US8010310B2 (en) 2007-07-27 2011-08-30 Advanced Micro Devices, Inc. Method and apparatus for identifying outliers following burn-in testing
JP2009065533A (ja) 2007-09-07 2009-03-26 Renesas Technology Corp ジッタ検出回路及び半導体装置
US8279976B2 (en) * 2007-10-30 2012-10-02 Rambus Inc. Signaling with superimposed differential-mode and common-mode signals
JP4977045B2 (ja) 2008-01-16 2012-07-18 株式会社東芝 半導体集積回路及び半導体装置
US8912990B2 (en) 2008-04-21 2014-12-16 Apple Inc. Display having a transistor-degradation circuit
US8010935B2 (en) 2008-05-07 2011-08-30 Lsi Corporation Electronic design automation tool and method for optimizing the placement of process monitors in an integrated circuit
US8204730B2 (en) 2008-06-06 2012-06-19 Synopsys, Inc. Generating variation-aware library data with efficient device mismatch characterization
WO2009150694A1 (ja) 2008-06-09 2009-12-17 株式会社アドバンテスト 半導体集積回路および試験装置
US8086978B2 (en) 2008-06-20 2011-12-27 Cadence Design Systems, Inc. Method and system for performing statistical leakage characterization, analysis, and modeling
US7701246B1 (en) 2008-07-17 2010-04-20 Actel Corporation Programmable delay line compensated for process, voltage, and temperature
US20100153896A1 (en) 2008-12-12 2010-06-17 Lsi Corporation Real-time critical path margin violation detector, a method of monitoring a path and an ic incorporating the detector or method
US8138840B2 (en) 2009-01-23 2012-03-20 International Business Machines Corporation Optimal dithering of a digitally controlled oscillator with clock dithering for gain and bandwidth control
CN102292912A (zh) 2009-01-27 2011-12-21 艾格瑞系统有限公司 用于性能监视的关键路径电路
US8365115B2 (en) 2009-03-06 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for performance modeling of integrated circuits
US8547131B2 (en) 2009-04-03 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for observing threshold voltage variations
US8417754B2 (en) 2009-05-11 2013-04-09 Empire Technology Development, Llc Identification of integrated circuits
US8825158B2 (en) 2009-08-25 2014-09-02 Lamda Nu, Llc Method and apparatus for detection of lead conductor anomalies using dynamic electrical parameters
US8271931B2 (en) 2009-10-20 2012-09-18 Synopsys, Inc. Integrated circuit optimization modeling technology
US8154353B2 (en) 2009-11-03 2012-04-10 Arm Limited Operating parameter monitor for an integrated circuit
US8228106B2 (en) 2010-01-29 2012-07-24 Intel Mobile Communications GmbH On-chip self calibrating delay monitoring circuitry
JP5418408B2 (ja) 2010-05-31 2014-02-19 富士通株式会社 シミュレーションパラメータ校正方法、装置及びプログラム
JP2012007978A (ja) 2010-06-24 2012-01-12 On Semiconductor Trading Ltd 半導体集積回路
US8680874B2 (en) 2010-07-30 2014-03-25 Imec On-chip testing using time-to-digital conversion
US8384430B2 (en) 2010-08-16 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. RC delay detectors with high sensitivity for through substrate vias
US8418103B2 (en) 2010-09-23 2013-04-09 Synopsys, Inc. Nonlinear approach to scaling circuit behaviors for electronic design automation
US8664968B2 (en) 2010-09-24 2014-03-04 Texas Instruments Incorporated On-die parametric test modules for in-line monitoring of context dependent effects
US8633722B1 (en) * 2010-09-29 2014-01-21 Xilinx, Inc. Method and circuit for testing accuracy of delay circuitry
US8422303B2 (en) 2010-12-22 2013-04-16 HGST Netherlands B.V. Early degradation detection in flash memory using test cells
US20120187991A1 (en) 2011-01-25 2012-07-26 Advanced Micro Devices, Inc. Clock stretcher for voltage droop mitigation
US9618569B2 (en) 2011-02-23 2017-04-11 Marvell Israel (M.I.S.L) Ltd. Method and apparatus for testing IC
US8471567B2 (en) 2011-02-25 2013-06-25 Raytheon Company Circuit for detection of failed solder-joints on array packages
US8847777B2 (en) * 2011-03-25 2014-09-30 Apple Inc. Voltage supply droop detector
US9448125B2 (en) 2011-11-01 2016-09-20 Nvidia Corporation Determining on-chip voltage and temperature
CN103310028B (zh) 2012-03-07 2017-08-15 飞思卡尔半导体公司 考虑器件老化的设计集成电路的方法
KR101996292B1 (ko) 2012-03-30 2019-07-05 에스케이하이닉스 주식회사 클럭 생성 회로
US8736338B2 (en) * 2012-04-11 2014-05-27 Freescale Semiconductor, Inc. High precision single edge capture and delay measurement circuit
US8896978B2 (en) 2012-06-15 2014-11-25 Texas Instruments Incorporated Integrated circuit with automatic deactivation upon exceeding a specific ion linear energy transfer (LET) value
US9714966B2 (en) 2012-10-05 2017-07-25 Texas Instruments Incorporated Circuit aging sensor
US9329229B2 (en) 2012-11-15 2016-05-03 Freescale Semiconductors, Inc. Integrated circuit with degradation monitoring
US9110134B2 (en) * 2012-12-27 2015-08-18 Intel Corporation Input/output delay testing for devices utilizing on-chip delay generation
US9494649B2 (en) 2012-12-31 2016-11-15 Advanced Micro Devices, Inc. Adaptive digital delay line for characterization of clock uncertainties
US10020931B2 (en) 2013-03-07 2018-07-10 Intel Corporation Apparatus for dynamically adapting a clock generator with respect to changes in power supply
US9267988B2 (en) 2013-03-14 2016-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip eye diagram capture
US9702769B2 (en) 2013-06-11 2017-07-11 Intel Corporation Self-calibrated thermal sensors of an integrated circuit die
US9500705B2 (en) 2013-08-28 2016-11-22 Wisconsin Alumni Research Foundation Integrated circuit providing fault prediction
US9366709B2 (en) 2013-09-16 2016-06-14 Taiwan Semiconductor Manufactruring Company Ltd. Circuit and method for delay difference measurement
US9222971B2 (en) * 2013-10-30 2015-12-29 Freescale Semiconductor, Inc. Functional path failure monitor
US9411668B2 (en) 2014-01-14 2016-08-09 Nvidia Corporation Approach to predictive verification of write integrity in a memory driver
KR20150096197A (ko) 2014-02-14 2015-08-24 삼성전자주식회사 반도체 집적회로의 누설전류 측정 회로
US10290092B2 (en) 2014-05-15 2019-05-14 Applied Materials Israel, Ltd System, a method and a computer program product for fitting based defect detection
JP2016005085A (ja) 2014-06-16 2016-01-12 ルネサスエレクトロニクス株式会社 半導体集積回路装置およびそれを用いる電子装置の製造方法
KR102232922B1 (ko) 2014-08-11 2021-03-29 삼성전자주식회사 쓰기 보조 회로를 포함하는 스태틱 랜덤 액세스 메모리 장치
JP2016057820A (ja) * 2014-09-09 2016-04-21 株式会社東芝 半導体装置及び半導体装置のテスト方法
TWI565211B (zh) 2014-09-12 2017-01-01 Alpha And Omega Semiconductor (Cayman) Ltd Constant on-time switching converter means
JP6481307B2 (ja) 2014-09-24 2019-03-13 株式会社ソシオネクスト アナログデジタル変換器、半導体集積回路、及びアナログデジタル変換方法
TWI524079B (zh) 2014-10-15 2016-03-01 旺宏電子股份有限公司 晶片對資料庫的接觸窗檢測方法
US10067000B2 (en) 2014-12-01 2018-09-04 Mediatek Inc. Inverter and ring oscillator with high temperature sensitivity
US10026712B2 (en) 2014-12-02 2018-07-17 Texas Instruments Incorporated ESD protection circuit with stacked ESD cells having parallel active shunt
KR102280526B1 (ko) 2014-12-08 2021-07-21 삼성전자주식회사 저전력 작은-면적 고속 마스터-슬레이브 플립-플롭 회로와, 이를 포함하는 장치들
US9760672B1 (en) * 2014-12-22 2017-09-12 Qualcomm Incorporated Circuitry and method for critical path timing speculation to enable process variation compensation via voltage scaling
CA2972973A1 (en) 2015-01-09 2016-07-14 Ecorithm, Inc. Machine learning-based fault detection system
US9424952B1 (en) 2015-02-07 2016-08-23 The Trustees Of Columbia University In The City Of New York Circuits, methods, and media for detecting and countering aging degradation in memory cells
US9564884B1 (en) 2015-04-13 2017-02-07 Qualcomm Incorporated Circuitry and method for measuring negative bias temperature instability (NBTI) and hot carrier injection (HCI) aging effects using edge sensitive sampling
US9564883B1 (en) 2015-04-13 2017-02-07 Qualcomm Incorporated Circuitry and method for timing speculation via toggling functional critical paths
US9536038B1 (en) 2015-04-13 2017-01-03 Qualcomm Incorporated Method and algorithm for functional critical paths selection and critical path sensors and controller insertion
US9490787B1 (en) * 2015-06-11 2016-11-08 Infineon Technologies Ag System and method for integrated circuit clock distribution
US9991879B2 (en) 2015-11-23 2018-06-05 Mediatek Inc. Apparatus for detecting variation in transistor threshold voltage
US9997551B2 (en) 2015-12-20 2018-06-12 Apple Inc. Spad array with pixel-level bias control
US10527503B2 (en) 2016-01-08 2020-01-07 Apple Inc. Reference circuit for metrology system
US10161967B2 (en) 2016-01-09 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. On-chip oscilloscope
US9843439B2 (en) 2016-01-27 2017-12-12 Ciena Corporation System and method for managing holdover
US10296076B2 (en) 2016-05-16 2019-05-21 Qualcomm Incorporated Supply voltage droop management circuits for reducing or avoiding supply voltage droops
US10635159B2 (en) 2016-05-27 2020-04-28 Qualcomm Incorporated Adaptive voltage modulation circuits for adjusting supply voltage to reduce supply voltage droops and minimize power consumption
US20170364818A1 (en) 2016-06-17 2017-12-21 Business Objects Software Ltd. Automatic condition monitoring and anomaly detection for predictive maintenance
JP6738682B2 (ja) 2016-08-01 2020-08-12 日本ルメンタム株式会社 光送受信器、光送信集積回路及び光受信集積回路
US10382014B2 (en) 2016-12-23 2019-08-13 Ati Technologies Ulc Adaptive oscillator for clock generation
US9791834B1 (en) * 2016-12-28 2017-10-17 Intel Corporation Fast digital to time converter linearity calibration to improve clock jitter performance
JP6686151B2 (ja) 2017-01-27 2020-04-22 三菱日立パワーシステムズ株式会社 モデルパラメータ値推定装置及び推定方法、プログラム、プログラムを記録した記録媒体、モデルパラメータ値推定システム
US10380879B2 (en) 2017-06-14 2019-08-13 Allegro Microsystems, Llc Sensor integrated circuits and methods for safety critical applications
JP6916441B2 (ja) 2017-10-19 2021-08-11 株式会社ソシオネクスト 半導体集積回路及び呼吸運動検査装置
KR20230020571A (ko) * 2017-11-15 2023-02-10 프로틴텍스 엘티디. 집적 회로 마진 측정 및 고장 예측 장치
US11391771B2 (en) 2017-11-23 2022-07-19 Proteantecs Ltd. Integrated circuit pad failure detection
US11408932B2 (en) 2018-01-08 2022-08-09 Proteantecs Ltd. Integrated circuit workload, temperature and/or subthreshold leakage sensor
US10530347B2 (en) 2018-03-23 2020-01-07 Sandisk Technologies Llc Receiver-side setup and hold time calibration for source synchronous systems
US10840322B2 (en) 2018-03-29 2020-11-17 Texas Instruments Incorporated Thin film resistor and top plate of capacitor sharing a layer
TWI828676B (zh) 2018-04-16 2024-01-11 以色列商普騰泰克斯有限公司 用於積體電路剖析及異常檢測之方法和相關的電腦程式產品
CN108534866A (zh) 2018-06-06 2018-09-14 珠海市洁源电器有限公司 一种可隔空监测液位的高灵敏度高精度电子液位感应器
EP3811246A4 (en) 2018-06-19 2022-03-23 Proteantecs Ltd. EFFICIENT SIMULATION AND TESTING OF AN INTEGRATED CIRCUIT
US10587250B2 (en) 2018-07-18 2020-03-10 Qualcomm Incorporated Current-starving in tunable-length delay (TLD) circuits employable in adaptive clock distribution (ACD) systems for compensating supply voltage droops in integrated circuits (ICs)
US10490547B1 (en) 2018-08-03 2019-11-26 Texas Instruments Incorporated IC with larger and smaller width contacts
US10509104B1 (en) 2018-08-13 2019-12-17 Analog Devices Global Unlimited Company Apparatus and methods for synchronization of radar chips
US20200203333A1 (en) 2018-12-21 2020-06-25 Texas Instruments Incorporated Vertical bipolar transistor for esd protection and method for fabricating
WO2020141516A1 (en) 2018-12-30 2020-07-09 Proteantecs Ltd. Integrated circuit i/o integrity and degradation monitoring
CN114127727A (zh) 2019-05-13 2022-03-01 普罗泰克斯公司 通过测量和仿真来确定集成电路的未知偏差和器件参数
WO2021019539A1 (en) 2019-07-29 2021-02-04 Proteantecs Ltd. On-die thermal sensing network for integrated circuits
US11409323B2 (en) 2019-12-20 2022-08-09 Arm Limited Delay monitoring scheme for critical path timing margin
WO2021214562A1 (en) 2020-04-20 2021-10-28 Proteantecs Ltd. Die-to-die connectivity monitoring
US11081193B1 (en) 2020-06-16 2021-08-03 Sandisk Technologies Llc Inverter based delay chain for calibrating data signal to a clock
WO2022009199A1 (en) 2020-07-06 2022-01-13 Proteantecs Ltd. Integrated circuit margin measurement for structural testing
US20230098071A1 (en) 2021-09-17 2023-03-30 Synopsys, Inc. Functional safety mechanisms for input/output (io) cells

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000215693A (ja) 1999-01-19 2000-08-04 Mitsubishi Electric Corp 同期型半導体記憶装置およびそのテスト方法
JP2002243800A (ja) 2001-02-16 2002-08-28 Nec Microsystems Ltd 半導体集積回路の異常検出回路および異常検出装置
JP2008147245A (ja) 2006-12-06 2008-06-26 Toshiba Corp 劣化診断回路及び半導体集積回路
WO2013027739A1 (ja) 2011-08-24 2013-02-28 日本電気株式会社 劣化診断回路および劣化診断方法
US20130293270A1 (en) 2012-05-04 2013-11-07 Fairchild Korea Semiconductor Ltd. Switch controller, switch control method, and power supply device comprising the switch controller

Also Published As

Publication number Publication date
US20240036105A1 (en) 2024-02-01
EP3710844B1 (en) 2024-02-07
WO2019097516A1 (en) 2019-05-23
US20200393506A1 (en) 2020-12-17
KR102493473B1 (ko) 2023-01-31
CN111587378A (zh) 2020-08-25
CN111587378B (zh) 2023-04-04
KR20200085323A (ko) 2020-07-14
IL306080A (en) 2023-11-01
CN116256624A (zh) 2023-06-13
EP3710844A4 (en) 2021-08-25
JP2021503091A (ja) 2021-02-04
US11385282B2 (en) 2022-07-12
US20230046999A1 (en) 2023-02-16
TW202341663A (zh) 2023-10-16
TWI806927B (zh) 2023-07-01
KR20230020571A (ko) 2023-02-10
EP4328596A2 (en) 2024-02-28
EP3710844A1 (en) 2020-09-23
JP2022172206A (ja) 2022-11-15
TW201924219A (zh) 2019-06-16
US11841395B2 (en) 2023-12-12
IL274688A (en) 2020-06-30

Similar Documents

Publication Publication Date Title
JP7130757B2 (ja) 集積回路マージン測定および故障予測装置
US20230258719A1 (en) Integrated circuit margin measurement for structural testing
US11132485B2 (en) Efficient integrated circuit simulation and testing
Blome et al. Self-calibrating online wearout detection
US11054459B2 (en) Optimization of integrated circuit reliability
KR101478554B1 (ko) 오버 슈트 전압의 산출 방법 및 그를 이용한 게이트 절연막열화분석방법
Mossa et al. Hardware trojans in 3-D ICs due to NBTI effects and countermeasure
Benhassain et al. Robustness of timing in-situ monitors for AVS management
US8729920B2 (en) Circuit and method for RAS-enabled and self-regulated frequency and delay sensor
Aryan et al. Reliability monitoring of digital circuits by in situ timing measurement
Pan et al. Basing acceptable error-tolerant performance on significance-based error-rate (SBER)
US8754667B2 (en) Semiconductor device test method and semiconductor device
JP5381767B2 (ja) 半導体集積回路及び半導体集積回路の試験方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211115

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211115

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220525

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220802

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220824

R150 Certificate of patent or registration of utility model

Ref document number: 7130757

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150