JP6702560B2 - 半導体集積回路 - Google Patents

半導体集積回路 Download PDF

Info

Publication number
JP6702560B2
JP6702560B2 JP2017030114A JP2017030114A JP6702560B2 JP 6702560 B2 JP6702560 B2 JP 6702560B2 JP 2017030114 A JP2017030114 A JP 2017030114A JP 2017030114 A JP2017030114 A JP 2017030114A JP 6702560 B2 JP6702560 B2 JP 6702560B2
Authority
JP
Japan
Prior art keywords
circuit
data
memory
bit
write
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017030114A
Other languages
English (en)
Other versions
JP2018137024A (ja
Inventor
顕一 安藏
顕一 安藏
利彰 堂坂
利彰 堂坂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Toshiba Electronic Devices and Storage Corp
Original Assignee
Toshiba Corp
Toshiba Electronic Devices and Storage Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Toshiba Electronic Devices and Storage Corp filed Critical Toshiba Corp
Priority to JP2017030114A priority Critical patent/JP6702560B2/ja
Priority to US15/700,975 priority patent/US10261127B2/en
Publication of JP2018137024A publication Critical patent/JP2018137024A/ja
Application granted granted Critical
Publication of JP6702560B2 publication Critical patent/JP6702560B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31725Timing aspects, e.g. clock distribution, skew, propagation delay
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/418Address circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/12015Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details comprising clock generation or timing circuitry
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/30Accessing single arrays
    • G11C29/32Serial access; Scan testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0403Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals during or with feedback to manufacture
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/30Accessing single arrays
    • G11C2029/3202Scan chain
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/54Arrangements for designing test circuits, e.g. design for test [DFT] tools
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • H03K3/0372Bistable circuits of the master-slave type

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Description

本発明の実施形態は、半導体集積回路に関する。
SRAM(Static Random Access Memory)を内蔵する半導体集積回路においては、SRAMを用いた通常動作と、SRAM周辺の論理回路のスキャンテストとではデータパスが異なる。
特許第5441857号公報 特許第5661143号公報
テスト品質を向上できる半導体集積回路を提供する。
実施形態に係る半導体集積回路は、論理回路と、論理回路に接続されたメモリマクロとを含む。メモリマクロは、メモリビットセルを含むメモリセルアレイと、論理回路に接続された出力バッファと、第1クロック信号に基づいて、出力バッファにメモリセルアレイから読み出したデータを出力するセンスアンプと、アサートされた書き込み制御信号に基づいてメモリセルアレイに書き込み電圧を印加するライトドライバと、第2クロック信号に基づいて論理回路からの入力データを取り込み、書き込み制御信号がアサートされた書き込み動作時に第2クロック信号に基づいてライトドライバに入力データを出力し、論理回路のスキャンテスト時に第1クロック信号に基づいて入力データを出力バッファに出力する第1フリップフロップ回路とを含む。第1フリップフロップ回路は、データ入力端子が論理回路に接続され、クロック入力端子に第2クロック信号が入力され、データ出力端子がライトドライバに接続される第1ラッチ回路と、データ入力端子が第1ラッチ回路のデータ出力端子に接続され、クロック入力端子に第1クロック信号が入力され、データ出力端子が出力バッファに接続される第2ラッチ回路とを含む。
図1は、第1実施形態に係る半導体集積回路のブロック図である。 図2は、第1実施形態に係る半導体集積回路の備えるメモリマクロのブロック図である。 図3は、第1実施形態に係る半導体集積回路の備えるメモリセルアレイの回路図である。 図4は、第1実施形態に係る半導体集積回路における通常モード時の動作を示す図である。 図5は、第1実施形態に係る半導体集積回路におけるスキャンモード時の動作を示す図である。 図6は、第2実施形態に係る半導体集積回路のブロック図である。
以下、実施形態につき図面を参照して説明する。この説明に際し、全図にわたり、共通する部分には共通する参照符号を付す。
1.第1実施形態
第1実施形態に係る半導体集積回路について説明する。以下では、半導体集積回路がSRAM(Static Random Access Memory)を内蔵する場合を例に挙げて説明する。
1.1 半導体集積回路の構成について
まず、半導体集積回路の構成について、図1を用いて説明する。本実施形態における半導体集積回路1は、論理回路(順序回路)をテストするためのスキャンテスト機能を有する。スキャンテストでは、論理回路内でシリアルに接続された複数のフリップフロップ回路に対して、外部機器から入力されたスキャンデータを用いて、論理回路の製造後テストが実行され、その出力結果に応じて論理回路内の製造欠陥有無の判定と、また必要に応じて故障診断が行われる。以下、本実施形態においては、スキャンテスト実行期間を「スキャンモード」と呼び、メモリにアクセス可能な通常のシステム動作期間を「通常動作モード」と呼ぶ。なお、半導体集積回路1内にLBIST(logic built in self test)回路を内蔵し、定期的に論理回路の組み込み自己テストが行われても良い。
図1に示すように、半導体集積回路1は、メモリマクロ10、論理回路11、及び内部クロック生成回路12を含む。なお、図1の例は、論理回路11とメモリマクロ10との間で32ビットのデータ送受信が行われる場合を示しているが、ビット数は任意に設定可能である。また、図1の例では、各ブロックを接続する配線(あるいはバス)の一部が示されている。
メモリマクロ10は、論理回路11が各種演算処理を行うために必要な情報(データ、アドレス、プログラム等)を一時的に保持し、この情報を論理回路11と送受信する。メモリマクロ10は、後述する複数のSRAMビットセル、センスアンプ、及びライトドライバ等を含む。なお、メモリマクロは複数設けられても良い。
論理回路11は、外部機器100の命令に応答して、半導体集積回路1が各種処理を実行するための演算処理を行う。論理回路11は、演算処理に用いられる複数のフリップフロップ回路(不図示)を含む。スキャンテスト時には、データのシフトを行うスキャンシフトイネーブル信号に応じて、論理回路11内の複数のシリアルに接続されたフリップフロップ回路が、シフト動作を行う。
論理回路11は、外部機器100から受信したコマンド及びアドレス信号に応じて、メモリマクロ10に、チップイネーブル信号CEn、ライトイネーブル信号WEn、スキャンテストイネーブル信号STE、及びビットライトイネーブル信号BWEを送信する。またメモリマクロ10内部では外部機器100から受信した上記信号の状態に応じてセンスアンプイネーブル信号SAEが作成される。
センスアンプイネーブル信号SAEは、メモリマクロ10が備えるセンスアンプがデータを読み出すタイミングを制御するクロック信号である。チップイネーブル信号CEnは、アクセス対象となるメモリマクロ10への書き込みや読み出し動作をイネーブル状態とするための信号であり、例えば“L”(“Low”)レベルでアサートされる。ライトイネーブル信号WEnは、書き込み動作をイネーブル状態とするための信号であり、例えば“L”レベルでアサートされる。スキャンテストイネーブル信号STEは、スキャンテストを実行する際に、例えば“H”(“High”)レベルでアサートされる。ビットライトイネーブル信号BWEは、ビット毎にメモリマクロ10へのデータの書き込みを指示する信号である。例えば、論理回路11とメモリマクロ10との間で32ビットのデータの送受信が行われる場合、ビット数(データビット数)、すなわち論理回路11からメモリマクロ10に書き込みデータを送信するデータ線の本数に対応して、ビットライトイネーブル信号BWE[0]〜BWE[31]が設けられる。
論理回路11は、メモリマクロ10とデータの送受信を行う。例えば、論理回路11からメモリマクロ10に、書き込みデータあるいはスキャンデータが送信される(以下、「入力データ」と呼ぶ)。また、メモリマクロ10から論理回路11に、読み出しデータあるいはスキャンデータが送信される(以下、「出力データ」と呼ぶ)。以下、論理回路11とメモリマクロ10とを接続する入力データ線の本数に対応して、入力データをDI[0]〜DI[31]と表記する。同様に、論理回路11とメモリマクロ10とを接続する出力データ線の本数に対応して、出力データをDO[0]〜DO[31]と表記する。また、データDI[0]〜DI[31]を限定しない場合は、データDIと表記し、データDO[0]〜DO[31]を限定しない場合は、データDOと表記する。なお、データ線の本数は、論理回路11とメモリマクロ10との間で送受信されるデータのビット数に応じて任意に変更可能である。
内部クロック生成回路12は、論理回路11の制御により、外部機器から入力された外部クロックに基づいて、内部で使用する各種クロック信号を生成する。例えば、内部クロック生成回路12は、メモリマクロ10にクロック信号CLKを送信する。
1.2 メモリマクロの構成について
次にメモリマクロ10の構成について、図2を用いて説明する。なお、以下の説明において、トランジスタの一端はソースまたはドレインの一方を示し、トランジスタの他端はソースまたはドレインの他方を示す。
図2に示すように、メモリマクロ10は、メモリセルアレイ20、ロウデコーダ21、カラム選択回路22、センスアンプ23、出力バッファ24、入力ラッチ25、ライトドライバ26、スキャンデータ出力回路27、SAE選択回路28、CLK制御回路29、及びOR回路41を含む。なお、図2の例では、説明を簡略化するため、入力ラッチ25において、データDI[0]及びビットライトイネーブル信号BWE[0]に対応するフリップフロップ回路のみを表記するが、他のデータDI[1]〜DI[31]及びビットライトイネーブル信号BWE[1]〜BWE[31]に対応するフリップフロップ回路も同じ構成である。
メモリセルアレイ20は、ロウ方向とカラム方向とに二次元に配置された複数のSRAMビットセル(不図示)を備えている。メモリセルアレイ20の詳細については後述する。
ロウデコーダ21は、ロウアドレスをデコードし、このデコード結果に基づき、メモリセルアレイ20のロウ、すなわち、ワード線WLのいずれかを選択する。ロウアドレスは、例えば論理回路11から与えられる。
カラム選択回路22は、メモリセルアレイ20のカラムを選択する。より具体的には、カラム選択回路22は、例えばカラムデコーダ(不図示)から受信したカラムアドレスに応じて、メモリセルアレイ20のカラムのいずれか、すなわち、メモリセルアレイ20のカラム方向に延びる複数のビット線対のいずれかを選択する。そしてカラム選択回路22は、選択したビット線対と、センスアンプ23あるいはライトドライバ26とを接続する。カラムアドレスは、例えば外部機器100から与えられる。
センスアンプ23は、メモリセルアレイ20内のSRAMビットセルに格納されたデータを読み出す。センスアンプ23は、論理回路11から送信されるセンスアンプイネーブル信号SAEに応じて、選択されたビット線対から読み出したデータを増幅して出力バッファに送信する。
出力バッファ24は、センスアンプ23から送信されたデータを一時的に保持する。出力バッファ24に格納されているデータは、データDO(DO[0]〜DO[31])として、論理回路11に送信される。例えば、出力バッファ24は、32ビットのデータDOに対応して、32ビット分のレジスタを含む。
入力ラッチ25は、論理回路11から受信したデータDI(DI[0]〜DI[31])及びビットライトイネーブル信号BWE(BWE[0]〜BWE[31])を一時的に保持する。入力ラッチ25は、クロック信号CLKLATのタイミングに基づいてデータDI及びビットライトイネーブル信号BWEを取り込む。入力ラッチ25は、クロック信号CLKLATのタイミングに基づいて、ライトドライバ26にデータDI及びビットライトイネーブル信号BWEを出力する。また入力ラッチ25は、センスアンプイネーブル信号SAEのタイミングに基づいて、スキャンデータ出力回路27にデータDI及びビットライトイネーブル信号BWEを出力する。以下、ライトドライバ26に送信されるデータを「DIa」と表記し、ビットライトイネーブル信号を「BWEa」と表記する。同様にスキャンデータ出力回路27に送信されるデータを「DIb」と表記し、ビットライトイネーブル信号を「BWEb」と表記する。
また、入力ラッチ25は、各データDI及び各ビットライトイネーブル信号BWEに対応するマスタースレーブ型のフリップフロップ回路30及び31を含む。より具体的には、データDI(DI[0]〜DI[31])に対応して32個のフリップフロップ回路30を含み、ビットライトイネーブル信号BWE(BWE[0]〜BWE[31])に対応して32個のフリップフロップ回路31を含む。
各フリップフロップ回路30は、それぞれマスターラッチ回路101及びスレーブラッチ回路102を含む。
マスターラッチ回路101は、通常動作モード及びスキャンモード時に、クロック信号CLKLATのタイミングに基づいてデータDIを取り込み、スレーブラッチ回路102及びライトドライバ26にデータDIaを出力する。すなわち、実データ(書き込みデータ)とスキャンデータとを、同じ入力タイミングで取り込むことができる。より具体的には、例えば、データDI[0]に対応するマスターラッチ回路101のデータ入力端子Dには、データDI[0]が入力される。マスターラッチ回路101のデータ出力端子Qは、スレーブラッチ回路102のデータ入力端子D及びライトドライバ26に接続される。また、マスターラッチ回路101のクロック入力端子には、クロック信号CLKLATが入力される。マスターラッチ回路101は、例えば、クロック信号CLKLATが“H”レベルの期間、データDI[0]を取り込む。
スレーブラッチ回路102は、スキャンモード時に、センスアンプイネーブル信号SAEのタイミングに基づいてデータDIaを取り込み、スキャンデータ出力回路27にデータDIbを出力する。より具体的には、例えば、データDI[0]に対応するスレーブラッチ回路102の出力端子は、スキャンデータ出力回路27に接続される。スキャンモード時には、スレーブラッチ回路102のクロック入力端子に、センスアンプイネーブル信号SAEが入力される。スレーブラッチ回路102は、例えば、センスアンプイネーブル信号SAEが“H”レベルの期間、マスターラッチ回路101から出力されたデータDIa[0]を取り込む。
各フリップフロップ回路31は、マスターラッチ回路111及びスレーブラッチ回路112を含む。
マスターラッチ回路111は、マスターラッチ回路101と同様に、通常動作モード及びスキャンモード時に、クロック信号CLKLATのタイミングに基づいてビットライトイネーブル信号BWEを取り込み、スレーブラッチ回路112及びライトドライバ26にビットライトイネーブル信号BWEaを出力する。より具体的には、例えば、ビットライトイネーブル信号BWE[0]に対応するマスターラッチ回路111のデータ入力端子Dには、ビットライトイネーブル信号BWE[0]が入力される。マスターラッチ回路111のデータ出力端子Qは、スレーブラッチ回路112のデータ入力端子D及びライトドライバ26に接続される。また、マスターラッチ回路111のクロック入力端子には、クロック信号CLKLATが入力される。マスターラッチ回路111は、例えば、クロック信号CLKLATが“H”レベルの期間、ビットライトイネーブル信号BWE[0]を取り込む。
スレーブラッチ回路112は、スレーブラッチ回路102と同様に、スキャンモード時に、センスアンプイネーブル信号SAEのタイミングに基づいてビットライトイネーブル信号BWEaを取り込み、スキャンデータ出力回路27にビットライトイネーブル信号BWEbを出力する。より具体的には、例えば、ビットライトイネーブル信号BWE[0]に対応するスレーブラッチ回路112の出力端子は、スキャンデータ出力回路27に接続される。スキャンモード時には、スレーブラッチ回路112のクロック入力端子には、センスアンプイネーブル信号SAEが入力される。スレーブラッチ回路112は、例えば、センスアンプイネーブル信号SAEが“H”レベルの期間、マスターラッチ回路111から出力されたビットライトイネーブル信号BWEa[0]を取り込む。
OR回路41の第1入力端子には、ライトイネーブル信号WEnが入力され、第2入力端子にはスキャンテストイネーブル信号STEが入力され、出力端子はライトドライバ26に接続される。OR回路41は、スキャンテストイネーブル信号が“H”レベルの場合(スキャンモード時)、“H”レベルの信号をライトドライバ26に送信し、スキャンテストイネーブル信号が“L”レベルの場合、ライトイネーブル信号WEnをライトドライバ26に送信する。
ライトドライバ26は、ライトイネーブル信号WEnがイネーブル状態の時、メモリセルアレイ20にデータを書き込む。より具体的には、ライトドライバ26は、入力ラッチ25から送信されるデータDIa(書き込みデータ)及びビットライトイネーブル信号BWEaに応じて、書き込み電圧をカラム選択回路22に出力する、すなわち書き込み動作を行う。
スキャンデータ出力回路27は、スキャンテストイネーブル信号が“H”レベルのときに、センスアンプ23と出力バッファ24とを接続するデータ線(バス)を介して出力バッファに、データDIb(スキャンデータ)とビットライトイネーブル信号BWEbとの演算結果を送信する。例えば、スキャンデータ出力回路27は、データDIb(DIb[0]〜DIb[31])に対応して32個設けられる。スキャンデータ出力回路27は、XOR回路32及びnチャネルMOSトランジスタ33を含む。
XOR回路32の第1入力端子は、スレーブラッチ回路102のデータ出力端子Qに接続され、第2入力端子は、スレーブラッチ回路112のデータ出力端子Qに接続され、出力端子は、トランジスタ33の一端に接続される。XOR回路32は、各データビットに対して設けられ、データDIbとビットライトイネーブル信号BWEbとのXOR演算を行い、その結果をトランジスタ33に送信する。例えば、スキャンモード時、ビットライトイネーブル信号BWEbが“L”レベルの場合、XOR回路32は、データDIb、すなわちスキャンデータをそのままトランジスタ33に出力する。
トランジスタ33の他端は、センスアンプ23と出力バッファ24とを接続するバスに接続される。トランジスタ33のゲートには、スキャンテストイネーブル信号STEが入力される。スキャンテストイネーブル信号STEが“H”レベルの場合、トランジスタ33はオン状態にされる。なお、トランジスタ33は、pチャネルMOSトランジスタであっても良い。
SAE選択回路28は、スキャンテストイネーブル信号STEが“L”レベルの場合、センスアンプ23にセンスアンプイネーブル信号SAEの反転信号を送信する。また、SAE選択回路28は、スキャンテストイネーブル信号STEが“H”レベルの場合、入力ラッチ25にセンスアンプイネーブル信号SAEを送信する。SAE選択回路28は、AND回路34及び35、並びにインバータ36を含む。
AND回路34の第1入力端子には、スキャンテストイネーブル信号STEの反転信号が入力され、第2入力端子には、センスアンプイネーブル信号SAEが入力される。AND回路34の出力端子はインバータ36の入力端子に接続される。インバータ36の出力端子はセンスアンプ23に入力される。
AND回路35の第1入力端子には、スキャンテストイネーブル信号STEが入力され、第2入力端子には、センスアンプイネーブル信号SAEが入力される。AND回路35の出力端子は入力ラッチ25のマスターラッチ回路101及び111のクロック入力端子に接続される。
例えば、スキャンテストイネーブル信号STEが“H”レベルの場合、AND回路34の第1入力端子には“L”レベルが入力される。従って、AND回路34の出力は“L”レベル固定となる。よって、センスアンプ23には、AND回路34からインバータ36を介して “H”レベルが入力される。また、AND回路35の第1入力端子には、“H”レベルが入力される。従って、AND回路35は、センスアンプイネーブル信号SAEを出力する。他方で、スキャンテストイネーブル信号STEが“L”レベルの場合、AND回路34は、センスアンプイネーブル信号SAEを出力する。よって、センスアンプ23には、AND回路34からインバータ36を介して センスアンプイネーブル信号SAEの反転信号が入力される。また、AND回路35は“L”レベルを出力する。
従って、スキャンテストイネーブル信号が“H”レベルの場合、すなわちスキャンモードの場合、センスアンプイネーブル信号SAEに基づいたタイミングで、スレーブラッチ回路102からスキャンデータ出力回路27を介して出力バッファ24にスキャンデータが送信される。他方で、スキャンテストイネーブル信号が“L”レベルの場合、すなわち通常動作モードの場合、センスアンプイネーブル信号SAEに基づいたタイミングで、センスアンプ23から出力バッファ24にメモリセルアレイ20から読み出したデータが送信される。
CLK制御回路29は、クロック信号CLKLATを制御する。より具体的には、CLK制御回路29は、チップイネーブル信号CEnがアサートされている期間または、スキャンテストイネーブル信号STEが“H”レベルの期間、すなわち通常動作モード期間及びスキャンモード期間、クロック信号CLKをクロック信号CLKLATとして出力する。CLK制御回路29は、インバータ37及び38、OR回路39、及びNAND回路40を含む。
インバータ37の入力端子には、チップイネーブル信号CEnが入力される。インバータ37の出力端子は、OR回路39の第1入力端子に接続される。OR回路39の第2入力端子にはスキャンテストイネーブル信号STEが入力される。OR回路の出力端子はNAND回路40の第1入力端子に接続される。
インバータ38の入力端子には、クロック信号CLKが入力される。インバータ38の出力端子は、NAND回路40の第2入力端子に接続される。NAND回路40の出力端子は、入力ラッチ25のマスターラッチ回路101及び111のクロック入力端子に接続される。
例えば、チップイネーブル信号CEnが“L”レベルでアサートされている場合、あるいは、スキャンテストイネーブル信号STEが“H”レベルとされる場合、OR回路39は“H”レベルを出力する。この結果、NAND回路40は、クロック信号CLKの反転信号に応じて、クロック信号CLKLATを出力する。
1.3 メモリセルアレイの構成について
次に、メモリセルアレイ20の構成について、図3を用いて説明する。図3の例は、1ビットのデータ出力に対応するメモリセルアレイ20を示している。入出力のデータビット数分に応じて、同一の回路構成のメモリセルアレイ20が設けられる。
図3に示すように、メモリセルアレイ20は、マトリクス配置された複数のSRAMビットセル50を備えている。なお、SRAMビットセル50の数は任意である。同一行(ロウ)に配置されたSRAMビットセル50は、ロウ方向に延びる複数のワード線WL(WL0、…、WL(n−1)、nは1以上の整数)のいずれかに共通に接続される。複数のワード線WLは、ロウデコーダ21に接続される。同一列(カラム)に配置されたSRAMビットセル50は、カラム方向に延びる複数のビット線対BL及び/BL(BL0及び/BL0、BL1及び/BL1、…、BL(m−1)及び/BL(m−1)、mは2以上の整数)のいずれかに共通に接続される。複数のビット線対BL及び/BLは、カラム選択回路22に接続される。ビット線対BL0及び/BL0は、カラム0に対応し、ビット線対BL1及び/BL1は、カラム1に対応する。他のカラムも同様であり、ビット線対BL(m−1)及び/BL(m−1)は、カラム(m−1)に対応する。
SRAMビットセル50の各々は、2つのnチャネルMOSトランジスタ51及び52、並びに2つのインバータ53及び54を備える。
トランジスタ51の一端はビット線対の一方(ビット線BL)に接続され、他端はSRAMビットセル50内のノードN1に接続され、ゲートはワード線WLに接続される。トランジスタ52の一端はビット線対の他方(ビット線/BL)に接続され、他端はSRAMビットセル50内のノードN2に接続され、ゲートは、トランジスタ51のゲートと同様に、ワード線WLに接続される。トランジスタ51及び52は、トランスファーゲートトランジスタとして機能する。
インバータ53の入力端子は、ノードN1に接続され、出力端子は、ノードN2に接続される。インバータ54の入力端子は、ノードN2に接続され、出力端子は、ノードN1に接続される。これにより、ノードN1に保持されたデータの反転データがノードN2に保持される。以下では、ノードN1が“H”レベルの場合、SRAMビットセル50が“H”レベル(“1”データ)を保持しているとし、ノードN1が“L”レベルの場合、SRAMビットセル50が“L”レベル(“0”データ)を保持しているとする。
1.4 通常動作モード時のメモリマクロ内のデータの流れについて
次に、通常動作モード時のメモリマクロ10内のデータの流れについて、図4を用いて説明する。なお、図4の例では、図2と同様に、説明を簡略化するため、入力ラッチ25において、データDI[0]及びビットライトイネーブル信号BWE[0]に対応するブロックのみを表記する。
図4に示すように、通常動作モードの場合、スキャンテストイネーブル信号STEは、“L”レベルとされる。これにより、スキャンデータ出力回路27内のトランジスタ33はオフ状態にされる。また、SAE選択回路28は、センスアンプ23にセンスアンプイネーブル信号SAEを転送する。CLK制御回路29には、“L”レベルのチップイネーブル信号CEnが入力される。これにより、CLK制御回路29は、入力ラッチ25にクロック信号CLKLAT(すなわちクロック信号CLK)を送信する。
この状態で、例えば、ライトイネーブル信号WEnを“L”レベルでアサートして書き込み動作を行う場合、入力ラッチ25には、書き込みデータが入力される。入力ラッチ25は、クロック信号CLKLATに基づいて、データDIa[0]及びビットライトイネーブル信号BWEa[0]をライトドライバ26に送信する。なお、この際、スレーブラッチ回路102及び112のクロック入力端子は“L”レベルに固定されているため、入力ラッチ25からスキャンデータ出力回路27に、データDIb[0]及びビットライトイネーブル信号BWEb[0]の変化した値は出力されない。
書き込み動作を行う場合、ライトドライバ26は、“L”レベルのライトイネーブル信号WEnが入力され、動作状態とされる。ライトドライバ26は、カラム選択回路22を介してメモリセルアレイ20に、データDIa[0]及びビットライトイネーブル信号BWEa[0]に基づいた書き込み電圧を印加する。
また、例えば、読み出し動作を行う場合、センスアンプ23は、センスアンプイネーブル信号SAEに基づいて、読み出したデータを出力バッファ24に送信する。出力バッファ24は、読み出しデータを論理回路11に送信する。
1.5 スキャンモード時のメモリマクロ内のデータの流れについて
次に、スキャンモード時のメモリマクロ10内のデータの流れについて、図5を用いて説明する。なお、図5の例では、図2と同様に、説明を簡略化するため、入力ラッチ25において、データDI[0]及びビットライトイネーブル信号BWE[0]に対応するブロックのみを表記する。
図5に示すように、スキャンモードの場合、スキャンテストイネーブル信号STEは、“H”レベルとされる。これにより、スキャンデータ出力回路27内のトランジスタ33はオン状態にされる。また、SAE選択回路28は、入力ラッチ25にセンスアンプイネーブル信号SAEを転送する。更に、CLK制御回路29は、チップイネーブル信号CEnに関わらず、入力ラッチ25にクロック信号CLKLAT(すなわちクロック信号CLK)を送信する。
この状態で、スキャンテストを行う場合、入力ラッチ25には、スキャンデータが入力される。入力ラッチ25は、センスアンプイネーブル信号SAEに基づいたタイミングで、データDIb[0]及びビットライトイネーブル信号BWEb[0]をスキャンデータ出力回路27に送信する。スキャンデータ出力回路27は、データDIb[0]とビットライトイネーブル信号BWEb[0]とのXOR演算の結果を、出力バッファ24に送信する。
スキャンモード時、“H”レベルのスキャンテストイネーブル信号STEがOR回路41に入力されるため、OR回路41の出力は“H”レベルに固定される。このため、ライトドライバ26は、非動作状態とされる。すなわち、ライトイネーブル信号WEnの状態に関わらず、ライトドライバ26から書き込み電圧は出力されない。従って、ライトイネーブル信号WEnは、“L”レベルでアサートされても良い。
また、センスアンプ23にセンスアンプイネーブル信号SAEが入力されないため、センスアンプ23から出力バッファ24にデータは出力されない。
1.6 本実施形態に係る効果について
本実施形態に係る構成であると、テスト品質を向上できる。本効果につき、以下説明する。
メモリを内蔵する半導体集積回路において、メモリ周辺の論理回路のスキャンテストの実行を、メモリにアクセスせずに実行する場合がある。例えば、メモリマクロ周辺のシステム論理のテストを行う場合、メモリマクロ内では、メモリセルアレイをバイパスし、例えばスキャンテスト用のフリップフロップ回路を介するスキャンチェーンを形成した状態で、スキャンテストが実行される。この場合、スキャンテスト用の回路は、メモリのWrapperモジュール内にソフトマクロとして構成されても良く、メモリマクロ内にハード化して組み込まれても良い。
通常動作とスキャンテストとではデータパスが異なる。このため、メモリマクロにおけるデータの入出力タイミングは、通常動作とスキャンテストとで異なる。例えば、メモリマクロにデータが入力されるタイミングを通常動作とスキャンテストとで合わせた場合でも、メモリにアクセスする通常動作に対して、メモリにアクセスしないスキャンテストの出力タイミングの方が早くなる場合が多い。出力タイミングが異なると、スキャンテストによる動作速度の評価が難しくなり、動作速度に関する品質保証はできなくなる。
また、通常動作とスキャンテストとで入出力タイミングが異なると、半導体集積回路のタイミング設計が難しくなる。例えば、入出力タイミングのずれに合わせて、スキャンテスト時に、通常動作よりも早い(あるいは遅い)周波数のクロックを入れようとすると、クロック設計に手間がかかり、全てのタイミングを合わせることは困難である。更に、実際の動作速度のテストができない。
これに対し、本実施形態に係る構成では、メモリマクロ内の入力バッファに、マスターラッチ回路とスレーブラッチ回路とを備えるマスタースレーブ型のフリップフロップ回路を用いる。マスターラッチ回路は、同じクロック信号CLKLATのタイミングに基づいて、実データ(例えば書き込みデータ)及びスキャンデータを取り込むことができる。そして、通常動作モードにおいては、マスターラッチ回路から、ライトドライバに書き込みデータを送信し、スキャンモードにおいては、マスターラッチ回路からスレーブラッチ回路を介して出力バッファにスキャンデータを送信する。センスアンプイネーブル信号に基づいてスレーブラッチ回路を動作させることにより、センスアンプから読み出しデータが出力される場合とほぼ同じタイミングで、スレーブラッチ回路からスキャンデータを出力することができる。すなわち、メモリマクロ内において、通常動作とスキャンテストとにおける入出力タイミングをほぼ同じにすることができる。従って、スキャンテストによる動作速度の評価が容易となり、テスト品質を向上できる。
更に、テスト品質を向上できるため、例えば出荷検査時の不良チップの検出感度を向上できる。よって、出荷製品の不良率を低減できる。
更に、本実施形態に係る構成では、メモリマクロにおける入出力タイミングが、メモリにアクセスする通常動作時とスキャンテスト時とでほぼ等しくなるため、チップ設計のタイミング調整の負荷を軽減することができる。従って、設計に関する開発期間を短縮することができる。
2.第2実施形態
次に、第2実施形態について説明する。第2実施形態では、スキャンデータに対応するデータをメモリセルアレイ20から読み出して出力する場合について説明する。以下、第1実施形態と異なる点についてのみ、説明する。
2.1 メモリマクロの構成について
まず、メモリマクロ10の構成について、図6を用いて説明する。図6の例では、説明を簡略化するため、第1実施形態の図2で説明した、SAE選択回路28、CLK制御回路29、及びフリップフロップ回路31が省略されている。また、スキャンデータ出力回路27は廃されている。
図6に示すように、メモリマクロ10は、メモリセルアレイ20、ロウデコーダ21、カラム選択回路22、センスアンプ23、出力バッファ24、入力ラッチ25、ライトドライバ26、及びDCS生成回路60を含む。カラム選択回路22、センスアンプ23、出力バッファ24、入力ラッチ25、及びライトドライバ26は、第1実施形態の図2と同様である。
メモリセルアレイ20には、第1実施形態の図3で説明したメモリセルアレイ20の構成に加えて、スキャンテスト用のワード線WL_testと、これに接続される複数(m個)のSRAMビットセル50の行(ロウ)とが設けられている。ワード線WL_testは、他のワード線WLと同様に、ロウデコーダ21に接続される。本実施形態においては、ワード線WL_testに接続され、ビット線対BL0及び/BL0に接続されたSRAMビットセル50のデータは、“L”レベルに固定される。また、ワード線WL_testに接続され、ビット線対BL1及び/BL1に接続されたSRAMビットセル50のデータは、“H”レベルに固定される。例えば、SRAMビットセル50のデータを“L”レベルに固定する場合、SRAMビットセル50内のノードN2に電源電圧VDDが印加される。また、例えば、SRAMビットセル50のデータを“H”レベルに固定する場合、SRAMビットセル50内のノードN1に電源電圧VDDが印加される。
カラム選択回路22は、DCS生成回路60から送信される信号DCS(DCS0〜DCS(m−1))に基づいて、対応するカラムを選択する。信号DCS0〜DCS(m−1)は、カラム0〜カラム(m−1)にそれぞれ対応し、例えば“H”レベルのときに、対応するカラムが選択される。
DCS生成回路60は、カラム選択信号CSL(CSL0〜CSL(m−1))、スキャンテストイネーブル信号STEn、及びスキャンデータに基づいて、信号DCS(DCS0〜DCS(m−1))を生成し、カラム選択回路22に送信する。カラム選択信号CSL0〜CSL(m−1)は、カラムデコーダがカラムアドレスをデコードした結果に基づいており、それぞれカラム0〜カラム(m−1)に対応する。スキャンテストイネーブル信号STEnは、スキャンテストイネーブル信号STEの反転信号であり、例えば論理回路11から供給される。論理回路11は、通常動作モード時は、スキャンテストイネーブル信号STEnを“H”レベルとし、スキャンモード時は、スキャンテストイネーブル信号STEnを“L”レベルとする。例えば、DCS生成回路60は、通常動作モード時には、信号DCSとしてカラム選択信号CSLを出力する。他方で、DCS生成回路60は、スキャンモード時には、スキャンデータに基づいた信号DCSを生成し、これを送信する。
DCS生成回路60は、2つのマルチプレクサ61及び62、インバータ63、及び(m−2)個のAND回路64を含む。
マルチプレクサ61の第1入力端子には、カラム選択信号CSL0が入力され、第2入力端子には、インバータ63により反転されたスキャンデータ(スレーブラッチ回路102の出力データ)が入力される。マルチプレクサ61から出力された信号が、信号DCS0としてカラム選択回路22に入力される。そして、マルチプレクサ61は、スキャンテストイネーブル信号STEnが“H”レベルの場合に、第1入力端子を選択し、“L”レベルの場合に、第2入力端子を選択する。従って、マルチプレクサ61は、通常動作モード時には、カラム選択信号CSL0を出力し、スキャンモード時には、スキャンデータの反転信号を出力する。スキャンモードにおいて、スキャンデータが“L”レベルの場合、信号DCS0は“H”レベルとされ、カラム選択回路22においてカラム0が選択される。他方で、スキャンデータが“H”レベルの場合、信号DCS0は“L”レベルとされ、カラム選択回路22においてカラム0は選択されない。
マルチプレクサ62の第1入力端子には、カラム選択信号CSL1が入力され、第2入力端子には、スキャンデータが入力される。マルチプレクサ62から出力された信号が、信号DCS1としてカラム選択回路22に入力される。そして、マルチプレクサ62は、マルチプレクサ61と同様に、スキャンテストイネーブル信号STEnが“H”レベルの場合に、第1入力端子を選択し、“L”レベルの場合に、第2入力端子を選択する。従って、マルチプレクサ62は、通常動作モード時には、カラム選択信号CSL1を出力し、スキャンモード時には、スキャンデータを出力する。例えば、スキャンモードにおいて、スキャンデータが“H”レベルの場合、信号DCS1は“H”レベルとされ、カラム選択回路22においてカラム1が選択される。他方で、スキャンデータが“L”レベルの場合、信号DCS1は“L”レベルとされ、カラム選択回路22においてカラム1は選択されない。
(m−2)個のAND回路64は、信号DCS2〜DCS(m−1)にそれぞれ対応する。AND回路64の第1入力端子には、カラム選択信号CSL2〜CSL(m−1)のいずれかが入力され、第2入力端子には、スキャンテストイネーブル信号STEnが入力される。AND回路64から出力された信号が、信号DCS2〜DCS(m−1)のいずれかとしてカラム選択回路22に入力される。そして、AND回路64は、スキャンテストイネーブル信号STEnが“H”レベルの場合は、カラム選択信号CSL(CSL2〜CSL(m−1))を出力し、“L”レベルの場合は、“L”レベルを出力する。
2.2 スキャンモード時のメモリマクロ内のデータの流れについて
次に、スキャンモード時のメモリマクロ10内のデータの流れについて、引き続き図6を用いて説明する。
スキャンモードの場合、ロウデコーダ21は、ワード線WL_testを選択する。また、スキャンテストイネーブル信号STEnは、“L”レベルとされる。
この状態において、スキャンデータが“L”レベルの場合、DCS生成回路60は、信号DCS0を“H”レベルとし、信号DCS1〜DCS(m−1)を“L”レベルとする。すると、カラム選択回路22はカラム0を選択する。ワード線WL_test並びにビット線対BL0及び/BL0に接続されたSRAMビットセル50は“L”レベルに固定されているため、センスアンプ23は、“L”レベルのデータを読み出す。
他方で、スキャンデータが“H”レベルの場合、DCS生成回路60は、信号DCS1を“H”レベルとし、信号DCS0及びDCS2〜DCS(m−1)を“L”レベルとする。すると、カラム選択回路22はカラム1を選択する。ワード線WL_test並びにビット線対BL1及び/BL1に接続されたSRAMビットセル50は“H”レベルに固定されているため、センスアンプ23は、“H”レベルのデータを読み出す。
すなわち、スキャンデータが“L”レベルの場合、メモリセルアレイ20から“L”データが読み出される。スキャンデータが“H”レベルの場合、メモリセルアレイ20から“H”データが読み出される。
2.3 本実施形態に係る効果について
本実施形態に係る構成において、第1実施形態と同様の効果が得られる。
更に、本実施形態は、メモリセルアレイ20にスキャンテスト用にデータが固定されたSRAMビットセルを備え、スキャンデータに基づいて、SRAMビットセルのデータを読み出すことができる。これにより、メモリマクロからスキャンデータを出力する場合においても、通常動作モードと同様に、メモリセルアレイから読み出したデータを出力できる。すなわち、スキャンモードにおいてもメモリにアクセスし、通常動作と同様に読み出しデータを出力することができる。従って、メモリマクロ内において、通常動作とスキャンテストとにおける入出力タイミングのずれを更に低減させることができる。
3.変形例等
上記実施形態に係る半導体集積回路は、論理回路(図1中の11)と、論理回路に接続されたメモリマクロ(図1中の10)とを含む。メモリマクロは、メモリビットセルを含むメモリセルアレイ(図2中の20)と、論理回路に接続された出力バッファ(図2中の24)と、第1クロック信号(SAE)に基づいて、出力バッファにメモリセルアレイから読み出したデータを出力するセンスアンプ(図2中の23)と、アサートされた書き込み制御信号(WEn)に基づいてメモリセルアレイに書き込み電圧を印加するライトドライバ(図2中の26) と、第2クロック信号(CLKLAT)に基づいて論理回路からの入力データを取り込み、書き込み制御信号がアサートされた書き込み動作時に第2クロック信号に基づいてライトドライバに入力データを出力し、論理回路のスキャンテスト時に第1クロック信号に基づいて入力データを出力バッファに出力する第1フリップフロップ回路(図2中の30)とを含む。
上記実施形態を適用することにより、テスト品質を向上できる半導体集積回路を提供できる。
なお、実施形態は上記説明した形態に限定されるものではなく、種々の変形が可能である。
更に、上記実施形態におけるメモリはSRAMに限定されない。書き込み及び読み出し可能なメモリであれば良い。更に、半導体集積回路は、CPU(central processing unit)であっても良く、GPU(graphics processing unit)あるいはDSP(digital signal processor)等のハードウエアエンジンであっても良く、これらを含むSoC(system on chip)であっても良い。
更に、上記実施形態における「接続」とは、間に例えばトランジスタあるいは抵抗等、他の何かを介在させて間接的に接続されている状態も含む。
本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。
1…半導体集積回路、10…メモリマクロ、11…論理回路、12…内部クロック生成回路、20…メモリセルアレイ、21…ロウデコーダ、22…カラム選択回路、23…センスアンプ、24…出力バッファ、25…入力ラッチ、26…ライトドライバ、27…スキャンデータ出力回路、28…SAE選択回路、29…CLK制御回路、30、31…フリップフロップ回路、32…XOR回路、33、51、52…nチャネルMOSトランジスタ、34、35、64…AND回路、36〜38、53、54、63…インバータ、39、41…OR回路、40…NAND回路、60…DCS生成回路、61、62…マルチプレクサ、100…外部機器、101、111…マスターラッチ回路、102、112…スレーブラッチ回路

Claims (5)

  1. 論理回路と、
    前記論理回路に接続されたメモリマクロと
    を備え、前記メモリマクロは、
    メモリビットセルを含むメモリセルアレイと、
    前記論理回路に接続された出力バッファと、
    第1クロック信号に基づいて、前記出力バッファに前記メモリセルアレイから読み出したデータを出力するセンスアンプと、
    アサートされた書き込み制御信号に基づいて前記メモリセルアレイに書き込み電圧を印加するライトドライバと、
    第2クロック信号に基づいて前記論理回路からの入力データを取り込み、前記書き込み制御信号がアサートされた書き込み動作時に前記第2クロック信号に基づいて前記ライトドライバに前記入力データを出力し、前記論理回路のスキャンテスト時に前記第1クロック信号に基づいて前記入力データを前記出力バッファに出力する第1フリップフロップ回路と
    を含み、
    前記第1フリップフロップ回路は、
    データ入力端子が前記論理回路に接続され、クロック入力端子に前記第2クロック信号が入力され、データ出力端子が前記ライトドライバに接続される第1ラッチ回路と、
    データ入力端子が前記第1ラッチ回路のデータ出力端子に接続され、クロック入力端子に前記第1クロック信号が入力され、データ出力端子が前記出力バッファに接続される第2ラッチ回路と
    を含む半導体集積回路。
  2. 前記メモリマクロは、前記第2クロック信号に基づいて前記論理回路からビット書き込み制御信号を取り込み、前記書き込み動作時に前記第2クロック信号に基づいて、前記ライトドライバに前記ビット書き込み制御信号を出力し、前記スキャンテスト時に前記第1クロック信号に基づいて、前記ビット書き込み制御信号を出力する第2フリップフロップ回路を更に含む請求項1記載の半導体集積回路。
  3. 前記メモリマクロは、前記スキャンテスト時に前記第1フリップフロップ回路から出力された前記入力データと前記第2フリップフロップ回路から出力された前記ビット書き込み制御信号との演算処理を行い、前記演算処理の結果を前記出力バッファに送信する第1回路を更に含む請求項記載の半導体集積回路。
  4. 前記メモリマクロは、前記半導体集積回路をイネーブル状態にする第1信号と、前記スキャンテスト時にアサートされる第2信号とに応じて前記第2クロック信号を制御するクロック制御回路を更に含み、
    前記クロック制御回路は、前記第1及び第2信号の少なくとも1つがアサートされている場合、前記第2クロック信号を出力する請求項1乃至のいずれか1つに記載の半導体集積回路。
  5. 論理回路と、
    前記論理回路に接続されたメモリマクロと
    を備え、前記メモリマクロは、
    第1論理レベルのデータを保持する第1メモリビットセル及び第2論理レベルのデータを保持する第2メモリビットセルを含むメモリセルアレイと、
    前記第1乃至第2メモリビットセルに接続された第1及び第2ビット線と、
    第1クロック信号に基づいて、前記メモリセルアレイから読み出したデータを出力するセンスアンプと、
    前記第1及び第2ビット線の少なくとも1つと前記センスアンプとを接続する選択回路と、
    アサートされた書き込み制御信号に基づいて前記メモリセルアレイに書き込み電圧を印加するライトドライバと、
    第2クロック信号に基づいて前記論理回路からの入力データを取り込み、前記書き込み制御信号がアサートされた書き込み動作時に前記第2クロック信号に基づいて、前記ライトドライバに前記入力データを出力し、前記論理回路のスキャンテスト時に前記第1クロック信号に基づいて、前記入力データを出力するフリップフロップ回路と
    を含み、前記スキャンテスト時に、前記フリップフロップ回路から出力される前記入力データが第1論理レベルの場合、前記選択回路は前記第1ビット線と前記センスアンプとを接続し、前記センスアンプは前記第1メモリビットセルから前記第1論理レベルのデータを読み出し、
    前記フリップフロップ回路から出力される前記入力データが第2論理レベルの場合、前記選択回路は前記第2ビット線と前記センスアンプとを接続し、前記センスアンプは、前記第2メモリビットセルから前記第2論理レベルのデータを読み出す半導体集積回路。
JP2017030114A 2017-02-21 2017-02-21 半導体集積回路 Active JP6702560B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2017030114A JP6702560B2 (ja) 2017-02-21 2017-02-21 半導体集積回路
US15/700,975 US10261127B2 (en) 2017-02-21 2017-09-11 Semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017030114A JP6702560B2 (ja) 2017-02-21 2017-02-21 半導体集積回路

Publications (2)

Publication Number Publication Date
JP2018137024A JP2018137024A (ja) 2018-08-30
JP6702560B2 true JP6702560B2 (ja) 2020-06-03

Family

ID=63167638

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017030114A Active JP6702560B2 (ja) 2017-02-21 2017-02-21 半導体集積回路

Country Status (2)

Country Link
US (1) US10261127B2 (ja)
JP (1) JP6702560B2 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019168316A (ja) 2018-03-23 2019-10-03 株式会社東芝 半導体集積回路
JP2020098182A (ja) * 2018-12-19 2020-06-25 ルネサスエレクトロニクス株式会社 半導体装置
US11280832B1 (en) * 2020-09-06 2022-03-22 Arm Limited Memory embedded full scan for latent defects
TWI729938B (zh) * 2020-09-21 2021-06-01 華邦電子股份有限公司 記憶體裝置以及記憶體的測試方法
US11443823B2 (en) * 2020-10-29 2022-09-13 SambaNova Systems, Inc. Method and circuit for scan dump of latch array
US11670365B2 (en) * 2021-04-15 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Circuitry for power management assertion
US11935606B2 (en) * 2021-06-30 2024-03-19 Qualcomm Incorporated Memory with scan chain testing of column redundancy logic and multiplexing
US11449404B1 (en) 2021-07-09 2022-09-20 SambaNova Systems, Inc. Built-in self-test for processor unit with combined memory and logic
TWI800925B (zh) * 2021-09-17 2023-05-01 瑞昱半導體股份有限公司 測試系統以及測試方法
US11755804B2 (en) * 2021-12-28 2023-09-12 Xilinx, Inc. Hybrid synchronous and asynchronous control for scan-based testing

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55128168A (en) * 1979-03-28 1980-10-03 Fujitsu Ltd Testing method of memory in chip
EP0505653A1 (en) * 1991-03-29 1992-09-30 International Business Machines Corporation Combined sense amplifier and latching circuit for high speed ROMs
JPH10160801A (ja) 1996-11-28 1998-06-19 Nec Corp 半導体集積回路
US5761215A (en) * 1997-06-03 1998-06-02 Motorola, Inc. Scan based path delay testing of integrated circuits containing embedded memory elements
JPH11108995A (ja) * 1997-09-30 1999-04-23 Sony Corp 関数クロック発生回路およびそれを用いたシフトレジスタ回路
JP2001345000A (ja) * 2000-05-31 2001-12-14 Matsushita Electric Ind Co Ltd 半導体装置
JP3863400B2 (ja) 2001-09-28 2006-12-27 株式会社東芝 半導体集積回路
JP2004053341A (ja) * 2002-07-18 2004-02-19 Kawasaki Microelectronics Kk 半導体集積回路とその設計方法
JP2004117046A (ja) * 2002-09-24 2004-04-15 Fujitsu Ltd 半導体記憶装置
US6958943B1 (en) * 2004-05-12 2005-10-25 International Business Machines Corporation Programmable sense amplifier timing generator
JP4707053B2 (ja) 2005-06-06 2011-06-22 ルネサスエレクトロニクス株式会社 半導体集積回路装置
JP5158087B2 (ja) * 2007-09-20 2013-03-06 富士通株式会社 半導体集積回路装置および半導体集積回路装置の試験方法
JP5194890B2 (ja) * 2008-03-05 2013-05-08 富士通セミコンダクター株式会社 半導体集積回路
US8045401B2 (en) * 2009-09-18 2011-10-25 Arm Limited Supporting scan functions within memories
US8553482B2 (en) * 2010-11-29 2013-10-08 Apple Inc. Sense amplifier and sense amplifier latch having common control
US9666302B1 (en) * 2015-12-28 2017-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for memory scan design-for-test
JP6640030B2 (ja) * 2016-06-06 2020-02-05 ルネサスエレクトロニクス株式会社 メモリマクロおよび半導体集積回路装置

Also Published As

Publication number Publication date
JP2018137024A (ja) 2018-08-30
US20180238965A1 (en) 2018-08-23
US10261127B2 (en) 2019-04-16

Similar Documents

Publication Publication Date Title
JP6702560B2 (ja) 半導体集積回路
US7139204B1 (en) Method and system for testing a dual-port memory at speed in a stressed environment
US6061285A (en) Semiconductor memory device capable of executing earlier command operation in test mode
KR100718518B1 (ko) 반도체 기억 장치
JP3918317B2 (ja) 半導体記憶装置
JP4309086B2 (ja) 半導体集積回路装置
JP2019169221A (ja) 半導体装置
KR0180265B1 (ko) 반도체 장치
JP2004055030A (ja) 半導体回路装置
KR100732241B1 (ko) 테스트 효율이 높은 반도체 메모리 장치, 반도체 메모리장치의 테스트 방법, 및 이를 구비한 테스트 시스템
KR19990029190A (ko) 테스트 모드에 있어서 워드선을 임의로 또한 고속으로 선택 상태로 하는 선택 회로를 갖는 반도체 기억 장치
US8274854B2 (en) Semiconductor storage device and method for producing semiconductor storage device
US20180342308A1 (en) Semiconductor device
JPH11317100A (ja) 半導体記憶装置
US5926424A (en) Semiconductor memory device capable of performing internal test at high speed
KR100676788B1 (ko) 메모리 회로
US6873556B2 (en) Semiconductor memory device with test mode and testing method thereof
US20080155363A1 (en) Bist circuit device and self test method thereof
JP2011159332A (ja) 半導体記憶装置
KR100519877B1 (ko) 레이트 라이트 기능을 갖는 반도체 메모리 장치 및 그데이터 입출력방법
US7085974B2 (en) Semiconductor device, method of testing the same and electronic instrument
KR0135242B1 (ko) 병렬 테스트 회로를 포함한 메모리 소자
US20140247679A1 (en) Semiconductor storage device and testing method
JPH047038B2 (ja)
KR20060059492A (ko) 반도체 메모리 장치

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20170911

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20170912

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20181127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200407

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200424

R150 Certificate of patent or registration of utility model

Ref document number: 6702560

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150