JP6557251B2 - 混合研磨剤タングステンcmp組成物 - Google Patents

混合研磨剤タングステンcmp組成物 Download PDF

Info

Publication number
JP6557251B2
JP6557251B2 JP2016558618A JP2016558618A JP6557251B2 JP 6557251 B2 JP6557251 B2 JP 6557251B2 JP 2016558618 A JP2016558618 A JP 2016558618A JP 2016558618 A JP2016558618 A JP 2016558618A JP 6557251 B2 JP6557251 B2 JP 6557251B2
Authority
JP
Japan
Prior art keywords
silica abrasive
composition
acid
polishing
particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016558618A
Other languages
English (en)
Other versions
JP2017515302A (ja
JP2017515302A5 (ja
Inventor
グランビーン スティーブン
グランビーン スティーブン
ディザード ジェフリー
ディザード ジェフリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CMC Materials Inc
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Publication of JP2017515302A publication Critical patent/JP2017515302A/ja
Publication of JP2017515302A5 publication Critical patent/JP2017515302A5/ja
Application granted granted Critical
Publication of JP6557251B2 publication Critical patent/JP6557251B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/10Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using organic inhibitors
    • C23F11/14Nitrogen-containing compounds
    • C23F11/141Amines; Quaternary ammonium compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F11/00Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent
    • C23F11/08Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids
    • C23F11/18Inhibiting corrosion of metallic material by applying inhibitors to the surface in danger of corrosion or adding them to the corrosive agent in other liquids using inorganic inhibitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

基材の表面を研磨する(または平坦化する)ための化学機械研磨(CMP)組成物および方法は当技術分野においてよく知られている。
半導体基材上の金属層(例えば、タングステン)を研磨するための研磨組成物(研磨スラリー、CMPスラリー、およびCMP組成物としても知られている)は、水溶液中に懸濁された研磨剤粒子および化学的促進剤、例えば酸化剤、キレート剤、触媒などを含むことができる。
慣用のCMP操作では、研磨される基材(ウエハ)は、キャリア(研磨ヘッド)上に搭載され、キャリアはまたキャリア組立体上に搭載され、そしてCMP装置(研磨装置)中の研磨パッドと接触するように配置される。キャリア組立体は、基材に制御可能な圧力を与え、基材を研磨パッドに対して押し付ける。基材およびパッドは、外部の駆動力によって、互いに対して動かされる。基材およびパッドの相対的な動きは、基材の表面から材料の一部を削り取り、そして除去し、それによって基材を研磨する。パッドと基材との相対的な動きによる基材の研磨は、研磨組成物の化学的活性によって(例えば、CMP組成物中に存在する酸化剤および他の化学成分によって)、ならびに/あるいは研磨組成物中に懸濁された研磨剤の機械的活性によって更に促進されることができる。
典型的なタングステンプラグプロセスおよび相互連結プロセスにおいては、タングステンは、誘電体上およびその中に形成された開口部内に堆積される。誘電体層上の過剰のタングステンは、次いでCMP操作の間に除去されて、誘電体内にタングステンプラグおよび相互接続を形成する。半導体装置のフィーチャサイズは、収縮し続けているので、適合する局所的および全体的な平坦性への要求は、CMP操作において(例えば、タングステンCMP操作において)、より困難になってきている。アレイ浸食(array erosion)(酸化浸食とも称される)、プラグおよび線のくぼみ、ならびにタングステンエッチングの欠陥は、平坦性および全体的な装置完全性を損なうことが知られている。例えば、過剰なアレイ浸食は、次の平板印刷工程において困難をもたらし、ならびに電気接点の問題を引き起こす可能性があり、それが電気的性能を低下させる可能性がある。
また、半導体工業は、継続した価格の低下圧力にさらされている。経済的に有利なCMPプロセスを維持するために、高い処理量が通常要求され、そのために高いタングステン除去速度(ならびにいずれかのバリヤ/バインダ層の高い除去速度)が必要とされる。また、価格の低下圧力は、CMP消耗品(例えば、スラリーおよびパッド)それ自体にも拡がっている。そのような価格の低下圧力は、所望のスラリー性能評価基準としばしば衝突するので、スラリー配合者に挑戦を提起する。
当工業では、高い処理量および低下された価格で、向上した平坦性を提供するタングステンCMPスラリー(組成物)への本当の必要性が存在している。
タングステン層を有する基材の研磨のための化学機械研磨組成物が開示されている。研磨組成物は、水系の液体キャリア、ならびに液体キャリア中の分散された第1および第2のシリカ研磨剤を含んでいる。第1のシリカ研磨剤は、少なくとも10mVの永久的な正の電荷を有するコロイド状シリカ研磨剤である。第2のシリカ研磨剤は、中性の電荷または非永久的な正の電荷を有している。1つの態様では、研磨組成物は、酸性のpHを有しており、そして更に鉄含有促進剤を更に含んでいる。タングステン層を含む基材を化学機械研磨するための方法が更に開示されている。この方法は、基材を上記の研磨組成物と接触させること、研磨組成物を基材に対して動かすこと、および基材を削り取って、基材からタングステンの一部を除去し、そしてそれによって基材を研磨することを含むことができる。
開示された主題、およびその利点のより完全な理解のために、添付の図1を併用した以下の説明がここに参照される。
図1は、例9の研磨組成物9A、9B、9C、9Dおよび9Eについての粒子径(nm)に対する高さ(hg/g)のプロットで、粒子径分布を示している。
1つの態様では、タングステン層を有する基材の研磨のための化学機械研磨組成物は、水系の液体キャリアならびに、液体キャリア中に分散された第1および第2のシリカ研磨剤を含んでいる。第1の研磨剤は、少なくとも10mVの永久的な正の電荷を有するコロイド状シリカ研磨剤である。第2のシリカ研磨剤は、中性の電荷または非永久的な正の電荷を有している。第2のシリカ研磨剤は、実質的にいずれかの好適なシリカ研磨剤、例えば、ヒュームドシリカ研磨剤および/またはコロイド状シリカ研磨剤、を含むことができる。研磨組成物は、鉄含有促進剤、例えば鉄含有触媒、その鉄含有促進剤に結合された安定剤、過酸化水素酸化剤、および/または1.5〜5.0の範囲のpHを、更に随意選択的に含むことができる。また、第1のシリカ研磨剤は、アミノシラン化合物で処理されることができる。
第1のシリカ研磨剤は、コロイド状シリカ粒子を含んでいる。ここで用いられる用語、コロイド状シリカ粒子は、構造的に異なる粒子を生成させる、熱分解法または火炎加水分解法ではなく、湿式法によって調整されたシリカ粒子を表している。コロイド状シリカ粒子は、凝集されていても、または非凝集であってもよい。非凝集粒子は、球状または形状が球形に近いものであることができるが、しかしながら他の形状(例えば、概ね楕円形、正方形、または長方形の断面)も有することができる、個々の分離した粒子である。凝集粒子は、多数の分離した粒子が、互いに集合して、または結合して、概ね不規則な形状を有する凝集体を形成する粒子である。
好ましくは、コロイド状シリカは、沈降もしくは縮合重合シリカであり、これは当業者に知られたいずれかの方法を用いて、例えば、ゾルゲル法によって、またはケイ酸塩イオン交換によって、調製することができる。縮合重合シリカ粒子は、しばしばSi(OH)を縮合させて、実質的に球形の粒子を形成することによって調製される。前駆体のSi(OH)は、例えば、高純度のアルコキシシランの加水分解によって、または水性のケイ酸塩溶液の酸性化によって、得ることができる。そのような研磨剤粒子は、例えば、米国特許第5,230,833号明細書に従って調製することができ、またはいずれかの種々の商業的に入手可能な製品、例えば、EKA ChemicalsのBINDZIL 50/80、30/310、および40/130製品、Fuso PL-1、PL-2、PL-3、およびPL-3H製品、ならびにNalco 1034A、1050、2327、および2329製品、ならびにDuPont、Bayer、Applied Research、Nissan Chemical(SNOWTEX製品)、およびClariantから入手可能な他の同様の製品として得ることができる。
第2のシリカ研磨剤は、実質的にいずれかの好適なシリカ研磨剤粒子、例えば、前記のコロイド状シリカおよび熱分解法(ヒュームド)シリカ、を含むことができる。熱分解法シリカは、火炎加水分解法によって生成され、そこでは好適な供給原料の蒸気(例えば、四塩化ケイ素)が水素および酸素の火炎中で燃焼される。概ね球形の溶融粒子が、この燃焼プロセス中で形成され、その直径は、プロセスパラメータによって変更することができる。それらの溶融球は、通常は一次粒子と称され、それらの接触点で衝突を経ることによって互いに溶合して、分岐した、三次元の鎖状の凝集体を形成する。ヒュームドシリカ研磨剤は、例えば、Cabot Corporation、Evonic、およびWacker Chemieを含む多くの供給者から商業的に入手可能である。
分散液中に懸濁された粒子の粒子径は、当業界で、種々の方法を用いて、規定することができる。ここに開示された態様では、粒子径は、CPSディスク遠心機、Model DC24000HR(CPS Instruments(Prairieville、ルイジアナ州)から入手可能)によって測定して規定される。研磨剤粒子は、いずれかの好適な粒子径を有することができる。研磨剤粒子は、10nm以上(例えば、20nm以上、40nm以上、50nm以上、80nm以上、または100nm以上)の平均粒子径を有することができる。研磨剤粒子は、200nm以下(例えば、180nm以下、150nm以下、130nm以下、110nm以下、または80nm以下)の平均粒子径を有することができる。従って、研磨剤粒子は、10nm〜200nm(例えば、20nm〜180nm、または50〜130)の平均粒子径を有することができる。
第1のシリカ研磨剤は、第2のシリカ研磨剤の平均粒子径と異なる平均粒子径を有している。例えば、第1のシリカ研磨剤の平均粒子径は、第2のシリカ研磨剤の平均粒子径よりも小さいことができる。あるいは、第1のシリカ研磨剤の平均粒子径は、第2のシリカ研磨剤の平均粒子径よりも大きいことができる。第1のシリカ研磨剤は、第2のシリカ研磨剤の平均粒子径よりも、少なくとも20nm(例えば、少なくとも30nm)だけ異なる平均粒子径を有することができる。第2のシリカ研磨剤の平均粒子径は、第1のシリカ研磨剤の平均粒子径よりも、好ましくは少なくとも20nm(例えば、少なくとも30nm)大きい。
第1のシリカ研磨剤の平均粒子径は、10nm以上(例えば、30nm以上、40nm以上、または50nm以上)であることができる。第1のシリカ研磨剤の平均粒子径は、130nm以下(例えば、110nm以下、100nm以下、または90nm以下)であることができる。従って、第1のシリカ研磨剤の平均粒子径は、10nm〜130nm(例えば、20nm〜110nm、40〜100nm、または50〜90nm)の範囲であることができる。第2のシリカ研磨剤の平均粒子径は、80nm以上(例えば、90nm以上、100nm以上、または110nm以上)であることができる。第2のシリカ研磨剤の平均粒子径は、200nm以下(例えば、180nm以下、170nm以下、または160nm以下)であることができる。従って、第2のシリカ研磨剤の平均粒子径は、80nm〜200nm(例えば、90nm〜180nm、または100〜160nm)の範囲であることができる。
第1および第2のシリカ研磨剤は、部分的に凝集したコロイド状シリカを含むことができる。部分的に凝集したとは、コロイド状シリカ研磨剤粒子の50パーセント以上が、2つまたは3以上の凝集された一次粒子(例えば、2、3、4、または5以上の凝集した一次粒子)を含むことを意味している。従って、第1および第2のシリカ研磨剤のそれぞれが部分的に凝集したコロイド状シリカを含む態様では、第1のシリカ研磨剤中のコロイド状シリカ研磨剤粒子の50%以上が、2つもしくは3つ以上の凝集した一次粒子を含み、そして第2のシリカ研磨剤中のコロイド状シリカ研磨剤粒子の50%以上が、2つもしくは3つ以上の凝集した一次粒子を含んでいる。例えば、研磨組成物は、50%以上の研磨剤粒子が2つの凝集した一次粒子を含む第1のシリカ研磨剤、ならびに50%以上の研磨剤粒子が2つの凝集した一次粒子を含む第2のシリカ研磨剤を含むことができる。
50%以上のコロイド状シリカ研磨剤粒子が2つもしくは3つ以上の凝集した一次粒子を含む部分的に凝集された分散液を、例えば、多段階工程のプロセスを用いて調製することができ、その中で、例えば833号特許に記載されているように、一次粒子は溶液中で先ず成長する。この溶液のpHは、次いで凝集(または部分的な凝集)を促進するように、所定の時間、酸性の値に調整することができる。随意選択的な最終的工程は、凝集体(およびいずれかの残っている一次粒子)の更なる成長を可能にさせることができる。
第1および第2のシリカ研磨剤が両方とも永久的な正の電荷を有する態様では、開示された研磨組成物は、混合された研磨剤または二峰性の分布を有する単一の研磨剤を含むことができる。混合された研磨剤とは、異なった第1および第2の分散液が、研磨剤粒子が十分に成長した後に互いに混合されることを意味している。二峰性の分布を有する単一の研磨剤は、コロイド状シリカ粒子が、研磨剤粒子が二峰性の分布を有するように成長するように処理されている分散液である。
研磨剤組成物は、いずれかの好適な量のシリカ研磨剤粒子を含むことができる。研磨組成物は、第1のシリカ研磨剤および第2のシリカ研磨剤のそれぞれを0.01質量%以上(例えば、0.02質量%以上、約0.05質量%以上、または0.1質量%以上)含むことができる。研磨組成物は、第1のシリカ研磨剤および第2のシリカ研磨剤のそれぞれを1質量%以下(例えば、0.8質量%以下、0.6質量%以下、または0.4質量%以下)含むことができる。従って、第1のシリカ研磨剤および第2のシリカ研磨剤のそれぞれの量は、0.01質量%〜1質量%(例えば、0.02質量%〜0.8質量%、0.05質量%〜0.6質量%、または0.1質量%〜0.04質量%)の範囲であることができる。シリカ研磨剤の総量(第1のシリカ研磨剤の量および第2のシリカ研磨剤の量の合計)は、2.0質量%未満(例えば、1.5質量%未満、または1.0質量%未満、または更には0.5質量%未満)であることができる。
液体キャリアは、研磨される(例えば、平坦化される)好適な基材の表面への、研磨剤およびいずれかの随意選択的な化学添加剤の適用を促進するように用いられる。液体キャリアは、いずれかの好適なキャリア(例えば、溶媒)例えば、低級アルコール(例えば、メタノール、エタノールなど)、エーテル(例えば、ジオキサン、テトラヒドロフランなど)、水およびそれらの混合物であることができる。好ましくは、液体キャリアは、水、より好ましくは脱イオン水を含む、から本質的になる、または、からなる。
第1のシリカ研磨剤は、研磨組成物中で、少なくとも10mVの正の電荷を有しているコロイド状シリカ粒子を含んでいる。分散された粒子、例えばコロイド状シリカ粒子上の電荷は、通常は、当技術分野においてゼータ電位(または界面動電位)と表される。粒子のゼータ電位は、粒子を取り囲むイオンの電荷と研磨組成物のバルク溶液(例えば、液体キャリアとその中に溶解されたいずれかの他の成分)の電荷との間の電位差を表す。ゼータ電位は、典型的には水性媒質のpHに依存する。与えられた研磨組成物では、粒子の等電点は、ゼータ電位が零であるpHとして規定される。pHが等電点から増加または減少すると、表面電荷(従って、ゼータ電位)は、対応して(負または正のゼータ電位値へと)減少または増加する。分散液、例えば研磨組成物のゼータ電位は、商業的に入手可能な装置、例えばDispersion Technologies, Inc.から入手可能なelectro-acoustic spectrometer、DT-1202、を用いて得ることができる。
研磨組成物中の第1のシリカ研磨剤のコロイド状シリカ粒子は、10mV以上(例えば、15mV以上、または20mV以上)の永久的な正の電荷を有する。研磨組成物中の第1のシリカ研磨剤のコロイド状シリカ粒子は、50mV以下(例えば、45mV以下、40mV以下、または35mV以下)の永久的な正の電荷を有することができる。好ましくは、第1のシリカ研磨剤のコロイド状シリカ粒子は、10mV〜50mV(例えば、15mV〜40mV)の範囲の永久的な正の電荷を有している。
永久的な正の電荷は、シリカ粒子上の正の電荷が、例えば、フラッシング、希釈、ろ過などによって、容易に可逆性ではないことを意味している。永久的な正の電荷は、例えば、カチオン性種のコロイド状シリカとの共有的な結合の結果であることができる。永久的な正の電荷は、例えば、カチオン性種とコロイド状シリカとの間の静電的相互作用の結果であることのできる、可逆的な正の電荷(非永久的な正の電荷)とは対照的である。
それにもかかわらず、ここで用いる場合には、少なくとも10mVの永久的な正の電荷は、シリカ粒子のゼータ電位が、以下の3工程の限外ろ過の後に、10mV超を維持していることを意味している。研磨組成物の一部(例えば、最初に200mLの試料)が、Millipore Ultracell再生セルロース限外ろ過ディスク(例えば、100000ダルトンのMWカットオフおよび6.3nmのポア径を有する)を通過する。残存する分散液(限外ろ過ディスクによって保持された約65mLの分散液)は収集され、そしてpH調整された脱イオン水で当初の体積に補充される。脱イオン水は、好適な無機酸または塩基、例えば硝酸または水酸化カリウムを用いて研磨組成物の初期のpHにpH調整される。この手順は、合計で3回の限外ろ過サイクル(そのそれぞれが、限外ろ過工程および補充工程を含んでいる)で繰り返される。3回限外ろ過され、そして補充された研磨組成物のゼータ電位は、次いで測定され、そして当初の研磨組成物のゼータ電位と比較される。この3回の工程での限外ろ過試験が、以下の例によって更に説明される(例8中で)。
理論によって拘束されることは望まないが、限外ろ過ディスクによって保持された分散液(保持された分散液)は、シリカ粒子および、それらの粒子の表面に結合することができる(例えば、粒子表面に結合、付着、静電気的に相互作用、または接触される)いずれかの化学成分(例えば、カチオン性種)を含んでいることが信じられる。液体キャリアおよびその中に溶解された化学成分の少なくとも一部は、限外ろ過ディスクを通過する。保持された(retained)分散液の当初の体積への補充は、当初の研磨組成物の平衡を乱し、それによって、粒子表面に結合された(associated)化学成分は、新たな平衡に至ることができることが信じられる。粒子表面と強く結合された(例えば、共有結合された)成分は、表面上に留まり、それによって、粒子の正のゼータ電位における変化は、あったとしても僅かしかない傾向にある。対照的に、粒子表面とより弱い結合(例えば、静電気的な相互作用)を有する成分の一部は、系が新たな平衡に至る時に溶液に戻り、それによって正のゼータ電位の低下をもたらす可能性がある。このプロセスを合計で3回の限外ろ過と補充のサイクルで繰り返すことで、上記の効果が増幅されると信じられる。
イオン強度の差異を修正した後に、当初の研磨組成物中のシリカ粒子と、上記の3工程の限外ろ過試験から得られた3回の限外ろ過と補充をされた研磨組成物中のシリカ粒子のゼータ電位の間の差異はほとんどない(または全くない)ことが好ましい。イオン強度の差異を修正する前に、測定されたゼータ電位は、3回の限外ろ過および補充をされた研磨組成物の低減されたイオン強度のために、増加することが観察される可能性があることが理解されるであろう。イオン強度の差異の修正の後に、前記の3工程の限外ろ過試験からもたらされる粒子上の正の電荷のいずれかの低下(正のゼータ電位の低下)は、10mV未満(例えば、7mV未満、5mV未満、または更には2mV未満)であることが好ましい。
アミノシラン化合物を含むシリカ研磨剤粒子は、永久的な正の電荷を有することができる。従って、永久的な正の電荷は、例えば、粒子を、少なくとも1種のアミノシラン化合物で処理することによって得ることができる。そのような化合物としては、第1級アミノシラン、第2級アミノシラン、第3級アミノシラン、第4級アミノシラン、およびマルチポーダル(multi-podal)(例えば、ダイポーダル(dipodal))アミノシランが挙げられる。アミノシラン化合物は、実質的にいずれかの好適なアミノシラン、例えば、プロピル基を含むアミノシランまたはプロピルアミンを含むアミノシラン化合物を挙げることができる。好適なアミノシランの例としては、ビス(2−ヒドロキシエチル)−3−アミノプロピルトリアルコキシシラン、ジエチルアミノメチルトリアルコキシシラン、(N,N−ジエチル−3−アミノプロピル)トリアルコキシシラン)、3−(N−スチリルメチル−2−アミノエチルアミノプロピルトリアルコキシシラン、アミノプロピルトリアルコキシシラン、(2−N−ベンジルアミノエチル)−3−アミノプロピルトリアルコキシシラン)、トリアルコキシシリルプロピル−N,N,N−トリメチルアンモニウムクロリド、N−(トリアルコキシシリルエチル)ベンジル−N,N,N−トリメチルアンモニウムクロリド、(ビス(メチルジアルコキシシリルプロピル)−N−メチルアミン、ビス(トリアルコキシシリルプロピル)尿素、ビス(3−(トリアルコキシシリル)プロピル)−エチレンジアミン、ビス(トリアルコキシシリルプロピル)アミン、ビス(トリアルコキシシリルプロピル)アミンおよびそれらの混合物を挙げることができる。
シリカ粒子を処理する、いずれかの好適な方法を用いることができ、その多くの方法が当業者に知られている。例えば、シリカ粒子は、研磨組成物の他の成分と混合する前に、アミノシラン化合物で処理することができ、またはアミノシランおよびコロイド状シリカ粒子は、研磨組成物の他の成分に、同時に加えることができる。
アミノシラン化合物は、研磨組成物中にいずれかの好適な量で存在することができる。用いられるアミノシランの量は、幾つかの因子、例えば、粒子径、粒子の表面積、用いられる特定のアミノシラン化合物、および粒子の所望の電荷に依存する可能性がある。一般に、用いられるアミノシランの量は、粒子径の減少(そして従って表面積の増加)および粒子上の電荷の増加と共に増加する。例えば、25mV以上の永久的な正の電荷を得るためには、110nmの粒子径を有する分散液では20ppm以上のアミノシランを用いることができ、75nmの粒子径を有する分散液では70ppm以上のアミノシランを用いることができ、そして55nmの粒子径を有する分散液では130ppm以上のアミノシランを用いることができる。従って、研磨組成物は、5ppm以上(例えば、10ppm以上、15ppm以上、または20ppm以上)のアミノシラン化合物を含むことができる。研磨組成物は、好ましくは、所望の永久的な正の電荷を与えるのに十分な量のアミノシランを、過剰に用いることなく含んでいる。従って、研磨組成物は、500ppm以下(例えば、300ppm以下、または200ppm以下、または150ppm以下)のアミノシラン化合物を含むことができる。好ましくは、研磨組成物は、5ppm〜500ppm(例えば、10ppm〜300ppm、15ppm〜200ppm、または20ppm〜150ppm)の範囲のアミノシラン化合物を含んでいる。
ポリマーアミンは、特定のシリカ研磨剤粒子に永久的な正の電荷(または、逆転するには3回超の限外濾過サイクルを必要とする半永久的な正の電荷)を与えるのに用いることができる。そのようなポリマーアミンは、シリカ粒子に永久的な(または、半永久的な)正の電荷を提供することができる一方で、特定のポリマーアミンの存在は、CMP組成物おおびCMP操作によっては、タングステン除去速度に悪影響を有する可能性がある。従って、特定の態様では、研磨組成物はポリマーアミンを実質的に含まないこと(そして、永久的な正の電荷が他の手段によって与えられること)が好ましい可能性がある。
特定の開示された態様では、第2のシリカ研磨剤は、中性の電荷または非永久的な正の電荷を有することができる。中性の電荷とは、シリカ研磨剤粒子のゼータ電位は、零に近く、例えば、約−5〜5mVの範囲であることを意味している。中性の電荷を有するシリカ研磨剤粒子は、通常は処理されていない。非永久的な正の電荷を有するシリカ研磨剤は、研磨剤粒子が、5mV超の可逆的な(または、部分的に可逆的な)正の電荷を有するシリカ研磨剤である(例えば、フラッシング(flushing)、希釈、ろ過などによって)。上記の限外濾過試験は、永久的な、および非永久的な正の電荷を有するシリカ研磨剤粒子の間の区別をするのに用いることができる。例えば、非永久的な正の電荷を有するシリカ研磨剤粒子は、限外濾過および補充の後に、正の電荷が、ある閾値(例えば、10mV)未満に低下される粒子である。同様に、非永久的な正の電荷を有するシリカ研磨剤粒子は、イオン強度差(例えば、5mV超の、または10mV超の)を中和した後に正の電荷が減少することができる粒子である。永久的な、および非永久的な正の電荷の間のこの差異は、例8において更に示されている。
カチオン含有成分(すなわち、正に荷電した種)と接触したシリカ研磨剤粒子は、非永久的な正の電荷を有することができる。従って、非永久的な正の電荷は、例えばその粒子を、少なくとも1種のカチオン含有成分で処理することによって得ることができる。この処理成分は、例えば、アンモニア塩(好ましくは、第四級アミン化合物)、ホスホニウム塩、スルホニウム塩、イミダゾリウム塩、およびピリジニウム塩から選ぶことができる。アンモニウム塩としては、Rを挙げることができ、ホスホニウム塩としては、Rを挙げることができ、そしてスルホニウム塩としては、Rを挙げることができ、ここで、R、R、RおよびRは独立してC〜Cアルキル、C〜C12アリールアルキル、またはC〜C10アリールを表している。それらの基は、勿論のこと、1つもしくは2つ以上のヒドロキシル基で更に置換されていてもよい。アニオンXは、研磨組成物の他の成分と好ましくは反応しない、いずれかの好適なアニオンであることができる。好適なアニオンの限定するものではない例としては、ヒドロキシド、クロリド、ブロミド、フルオリド、ナイトレート、スルフェート、硫酸水素塩(hydrogen sulfate)、メタンスルホネート、メチルスルフェート(すなわち、CHOSO )などが挙げられる。アンモニウム塩中のカチオン性成分としては、例えば、テトラメチルアンモニウム、テトラエチルアンモニウム、テトラプロピルアンモニウム、テトラブチルアンモニウム、テトラペンチルアンモニウム、エチルトリメチルアンモニウム、およびジエチルジメチルアンモニウムを挙げることができる。ホスホニウム塩中のカチオン性成分としては、例えば、テトラメチルホスホニウム、テトラエチルホスホニウム、テトラプロピルホスホニウム、テトラブチルホスホニウム、テトラフェニルホスホニウム、メチルトリフェニルホスホニウム、エチルトリフェニルホスホニウム、ブチルトリフェニルホスホニウム、ベンジルトリフェニルホスホニウム、ジメチルジフェニルホスホニウム、ヒドロキシメチルトリフェニルホスホニウムおよびヒドロキシエチルトリフェニルホスホニウムを挙げることができる。スルホニウム塩中のカチオン性成分としては、トリメチルスルホニウムおよびトリエチルスルホニウムを挙げることができる。イミダゾリウム塩中のカチオン性成分としては、例えば、1−エチル−3−メチルイミダゾリウム、1−ブチル−3−メチルイミダゾリウム、1−ベンジル−3−メチルイミダゾリウム、1−ヘキシル−3−メチルイミダゾリウム、および1−エチル−2,3−ジメチルイミダゾリウムを挙げることができる。ピリジニウム塩中のカチオン性成分としては、例えば1−メチルピリジニウムを挙げることができる。
研磨組成物は、通常は、7未満のpHを有する酸性である。研磨組成物は、典型的には、1以上(例えば、1.5以上、または2以上)のpHを有する。好ましくは、研磨組成物は、6以下(例えば、5以下、または4以下)のpHを有する。より好ましくは、研磨組成物は、1〜6(例えば、1.5〜5、または2〜4、または2〜3.5)の範囲のpHを有する。研磨組成物のpHは、いずれかの好適な手段によって得る、および/または維持することができる。研磨組成物は、実質的にいずれかの好適なpH調整剤または緩衝系を含むことができる。例えば、好適なpH調整剤としては、硝酸、硫酸、リン酸、フタル酸、クエン酸、アジピン酸、シュウ酸、マロン酸、マレイン酸、水酸化アンモニウムなどを挙げることができ、一方で、好適な緩衝剤としては、リン酸塩、硫酸塩、酢酸塩、マロン酸塩、シュウ酸塩、ホウ酸塩、アンモニウム塩などを挙げることができる。
研磨組成物の随意選択的な態様では、鉄含有促進剤を更に含むことができる。ここで用いられる鉄含有促進剤は、タングステンCMP操作の間のタングステンの除去速度を増加させる鉄含有化学成分である。例えば、鉄含有促進剤としては、鉄含有触媒、例えば、米国特許第5,958,288号および第5,980,775号明細書中に開示されているものを挙げることができる。そのような鉄含有触媒は、液体キャリアに溶解性であることができ、そして例えば、第二鉄(鉄III)または第一鉄(鉄II)化合物、例えば硝酸鉄、硫酸鉄、ハロゲン化鉄、例えばフッ化鉄、塩化鉄、臭化鉄、およびヨウ化鉄、ならびに過塩素酸鉄、過臭素酸鉄および過ヨウ素酸鉄、および有機鉄化合物、例えば酢酸鉄、鉄アセチルアセトネート、クエン酸鉄、グルコン酸鉄、マロン酸鉄、シュウ酸鉄、フタル酸鉄、およびコハク酸鉄、およびそれらの混合物を挙げることができる。
また、鉄含有促進剤としては、鉄含有活性化剤(例えば、鉄ラジカル生成化合物)または例えば、米国特許第7,029,508号および第7,077,880号明細書中に開示されたような、コロイド状シリカ粒子の表面に結合された(例えば、被覆されたまたは結合された)鉄含有触媒を挙げることができる。例えば、鉄含有促進剤は、コロイド状シリカ粒子の表面上のシラノール基と結合されていることができる。1つの態様では、鉄含有促進剤は、ホウ素含有安定剤および鉄含有触媒を含むことができる。そのような態様では、安定剤および触媒は、コロイド状シリカ粒子上の利用可能な表面サイトの実質的にいずれかのパーセント、例えば、利用可能な表面サイトの1%超、50%超、または80%超、を占めることができる。
研磨組成物中の鉄含有促進剤の量は、用いられる酸化剤および促進剤の化学的形態に応じて変化することができる。好ましい酸化剤過酸化水素(またはその類似体)が用いられ、そして可溶性の鉄含有触媒(例えば、硝酸第二鉄)が用いられた場合には、触媒は、組成物中に、組成物の全質量を基準として、1〜3000ppmの範囲のFeを与えるのに十分な量で存在することができる。研磨組成物は、好ましくは1ppm以上(例えば、5ppm以上、10ppm以上、または20ppm以上)のFeを含んでいる。研磨組成物は、好ましくは、500ppm以下(例えば、200ppm以下、100ppm以下、または50ppm以下)のFeを含んでいる。従って、研磨組成物は、1〜500ppm(例えば、3〜200ppm、5〜100ppm、または10〜50ppm)の範囲のFeを含むことができる。
鉄含有促進剤を含む研磨組成物の態様では、更に安定剤を含むことができる。そのような安定剤なしに、鉄含有促進剤および酸化剤は、酸化剤を継時的に迅速に分解するような方法で反応する可能性がある。安定剤の添加は、鉄含有促進剤の有効性を低下させる傾向にあり、そのため研磨組成物に加えられる安定剤の種類および量は、CMP性能に重大な影響を有する可能性がある。安定剤の添加は、安定剤/促進剤複合体の形成を招く可能性があり、それが、促進剤が酸化剤と反応することを抑制し、一方で、同時に促進剤が、速いタングステン研磨速度を促進するように、十分な活性のままであることを可能にする。
有用な安定剤としては、リン酸、有機酸、ホスホン酸塩化合物、ニトリル、および金属と結合し、そして過酸化水素分解へのその反応性を低下させる他の配位子ならびにそれらの混合物が挙げられる。酸安定剤は、それらの共役型で用いることができ、例えば、カルボン酸の代わりに、カルボキシレートを用いることができる。本明細書の目的のために、有用な安定剤を表すのに用いられる用語「酸」はまた、酸安定剤の共役塩基(または共役塩基(複数))も意味している。例えば、用語「アジピン酸」は、アジピン酸およびその共役塩基を意味している。安定剤は、単独で、または組み合わせて用いることができ、そして酸化剤、例えば過酸化水素が分解する速度を有意に低下させる。
好ましい安定剤としては、酢酸、リン酸、フタル酸、クエン酸、アジビン酸、シュウ酸、マロン酸、アスパラギン酸、コハク酸、グルタル酸、ピメリン酸、スベリン酸、アゼライン酸、セバシン酸、マレイン酸、グルタコン酸、ムコン酸、エチレンジアミン四酢酸(EDTA)、プロピレンジアミン四酢酸(PDTA)、およびそれらの混合物が挙げられる。好ましい安定剤は、本発明の組成物およびスラリーに、鉄含有促進剤に対して1当量〜3.0質量%以上の範囲の量で加えることができる。ここで用いられる用語「鉄含有促進剤に対して当量」は、組成物中の鉄種(species)に対して1分子の安定剤であることを意味している。例えば、鉄含有促進剤に対して2当量は、それぞれの鉄種(species)に対して、2分子の安定剤を意味している。
研磨組成物は、更に酸化剤を含むことができる。酸化剤は、スラリー製造の間に、またはCMP操作の直前に(例えば、半導体製造設備に配置されたタンク中で)、研磨組成物に加えることができる。好ましくは、酸化剤としては、無機または有機の過化合物(per-compounds)が挙げられる。Hawley's Condensed Chemical Dictionaryによって規定された過化合物は、少なくとも1つのペルオキシ基(−O−O−)を含む化合物またはその最も高い酸化状態にある元素を含む化合物である。少なくとも1種のペルオキシ基を含む化合物の例としては、過酸化水素およびその付加物、例えば尿素過酸化水素および過炭酸塩、有機過酸化物、例えば過酸化ベンゾイル、過酢酸、およびジ−ターシャリ−ブチルペルオキシド、モノ過硫酸塩(SO )、ジ過硫酸塩(S )、および過酸化ナトリウムが挙げられるが、それらには限定されない。その最も高い酸化状態にある元素を含む化合物の例としては、過ヨウ素酸、過ヨウ素酸塩、過臭素酸、過臭素酸塩、過塩素酸、過塩素酸塩、過ホウ酸、および過ホウ酸塩ならびに過マンガン酸塩が挙げられるが、それらには限定されない。最も好ましい酸化剤は、過酸化水素である。
酸化剤は、研磨組成物中に、例えば0.1〜10質量%の範囲の量で存在することができる。過酸化水素酸化剤および可溶性の鉄含有促進剤が用いられた好ましい態様では、酸化剤は、研磨組成物中に、0.1〜6質量%(例えば、0.2〜5質量%、0.5〜4質量%、または1〜3質量%)の範囲の量で存在することができる。
研磨組成物は、随意選択的に、タングステンのエッチングを抑制する化合物を更に含むことができる。好適な抑制剤化合物は、固体タングステンの溶解性のタングステン化合物への転換を抑制し、一方で、同時にCMP操作による固体タングステンの効果的な除去を可能にする。タングステンのエッチングの有用な抑制剤である化合物の分類としては、窒素含有可能基を有する化合物、例えば窒素含有複素環、アルキルアンモニウムイオン、アミノアルキル、およびアミノ酸が挙げられる。有用なアミノアルキル腐食防止剤としては、例えば、ヘキシルアミン、テトラメチル−p−フェニレンジアミン、オクチルアミン、ジエチレングトリアミン、ジブチルベンジルアミン、アミノプロピルシラノール、アミノプロピルシロキサン、ドデシルアミン、それらの混合物、ならびに合成および天然アミノ酸、例えば、リシン、チロシン、グルタミン、グルタミン酸、システイン、およびグリシン(アミノ酢酸)が挙げられる。
抑制剤化合物は、代わりにおよび/または加えて、液体キャリア中の溶液でアミン化合物を含むことができる。アミン化合物(化合物(複数))としては、第1級アミン、第2級アミン、第3級アミン、または第4級アミンを挙げることができる。アミン化合物としては、更にモノアミン、ジアミン、トリアミン、テトラアミンまたは多数の繰り返しのアミン基(例えば、4または5以上のアミン基)を有するアミン系ポリマーを更に挙げることができる。
研磨組成物の特定の態様では、アミン化合物は、長鎖アルキル基を含むことができる。長鎖アルキル基とは、アミン化合物が、少なくとも10個の炭素原子(例えば、少なくとも12個の炭素原子または少なくとも14個の炭素原子)を有するアルキル基を含むことを意味している。そのようなアミン化合物としては、例えば、ドデシルアミン、テトラデシルアミン、ヘキサデシルアミン、オクタデシルアミン、オレイルアミン、N−メチルジオクチルアミン、N−メチルオクタデシルアミン、コカミドプロピルアミンオキシド、ベンジルジメチルヘキサデシルアンモニウムクロリド、塩化ベンザルコニウム、ココアルキルメチル[ポリオキシエチレン(15)]アンモニウムクロリド、オクタデシルメチル[ポリオキシエチレン(15)]アンモニウムクロリド、セチルトリメチルアンモニウムブロミドなどを挙げることができる。
研磨組成物の特定の態様では、アミン化合物としては、ポリカチオン性アミンを挙げることができる。ポリカチオン性アミンは、(ここで用いられる用語としては)、複数(2個または3個以上)のアミン基を有するアミン化合物であり、その中でアミン基のそれぞれはカチオン性である(すなわち、正の電荷を有している)。従って、ポリカチオン性アミンは、ポリ第四級アミンを含むことができる。ポリ第四級アミンとは、アミン化合物が、2個から4個の第四級アンモニウム基を含むことを意味しており、例えばポリ第四級アミンは、ジ第四級アミン(diquaternary amine)、トリ第四級アミン(triquaternary amine)、またはテトラ第四級アミン(tetraquaternary amine)化合物である。ジ第四級アミンとしては、例えば、N,N′−メチレンビス(ジメチルテトラデシルアンモニウムブロミド)、1,1,4,4−テトラブチルピペラジンジイウムジブロミド、N,N,N′,N′,N′−ペンタメチル−N−タロウ−1,3−プロパン−ジアンモニウムジクロリド、N,N′−ヘキサメチレンビス(トリブチルアンモニウムヒドロキシド)、デカメトニウムブロミド、ジドデシル−テトラメチル−1,4−ブタンジジアミニウムジヨージド、1,5−ジメチル−1,5−ジアゾニアビシクロ(3.2.2)ノナンジブロミドなどを挙げることができる。トリ第四級アミン化合物としては、例えば、N(1),N(6)−ジドデシル−N(1),N(1),N(6),N(6)−テトラメチル−1,6−ヘキサンジアミニウムジヨージドを挙げることができる。テトラ第四級アミン化合物としては、例えば、メタンテトライルテトラキス(テトラメチルアンモニウムブロミド)を挙げることができる。ポリ第四級アミン化合物は、更に、長鎖アルキル基(例えば、10個もしくは11個以上の炭素原子)を含むことができる。例えば、長鎖アルキル基を有するポリ第四級アミン化合物としては、N,N′−メチレンビス(ジメチルテトラデシルアンモニウムブロミド)、N,N,N′,N′,N′−ペンタメチル−N−タロウ−1,3−プロパン−ジアンモニウムジクロリド、ジドデシル−テトラメチル−1,4−ブタンジアミニウムジヨージド、およびN(1),N(6)−ジドデシル−N(1),N(1),N(6),N(6)−テトラメチル−1,6−ヘキサンジアミニウムジヨージドを挙げることができる。
また、ポリカチオン性アミンはポリカチオン性であることができ、アミン基のそれぞれが、プロトン化されて(そして、従って、正の電荷を有して)いる。例えば、ジカチオン性アミン、例えばテトラメチル−p−フェニレンジアミンは、アミン化合物のpKaよりも小さい研磨組成物のpH値でプロトン化されて(そして、従って、正の電荷を有して)いることができる2つの第3級アミン基を含んでいる。
研磨組成物の特定の態様では、アミン化合物としては、アミン系ポリマーを挙げることができる。そのようなポリマーは、4つもしくは5つ以上のアミン基を含んでいる。アミン系ポリマーとしては、例えば、トリエチレンテトラミン、テトラエチレンペンタミン、ペンタエチレンヘキサミン、および以下のアミン含有官能基、メタクリロイルオキシ−エチルトリメチルアンモニウムメチルスルフェート、ジアリルジメチルアンモニウムクロリド、およびメタクリルアミド−プロピルトリメチルアンモニウムクロリド、を含むポリマーを挙げることができる。
研磨組成物は、実質的にいずれかの好適な濃度の抑制剤化合物を含むことができる。一般に、その濃度は、望ましくは適切なエッチングの抑制を与えるのに十分に高いが、しかしながらその化合物が溶解でき、そしてタングステンの研磨速度を許容可能な水準よりも低下させないように十分に低い。溶解できるとは、その化合物が液体キャリアに完全に溶解するか、またはその化合物が、液体キャリア中でミセルを形成するか、もしくはミセル中に保持されることを意味している。多くの種々の因子、例えば抑制剤化合物の溶解性、それらの中のアミン基の数、アルキル基の鎖長、エッチング速度抑制と研磨速度抑制との間の関係、用いられる酸化剤、酸化剤の濃度などをに応じて、抑制剤化合物の濃度を変更することが必要である可能性がある。特定の望ましい態様では、研磨組成物中のアミン化合物の濃度は、0.1μM〜10mM(すなわち、10−7〜10−2モル濃度)の範囲であることができる。例えば、高分子量を有するアミン系ポリマーを用いた態様では、濃度は、範囲のより低い部分(すなわち、10−7〜10−4モル濃度)であることができる。比較的に単純なアミン化合物(より少ないアミン基およびより低い分子量を有する)を用いた他の態様では、濃度は、範囲のより高い部分(10−5〜10−2モル濃度)であることができる。
研磨組成物は、随意選択的に、殺生物剤を更に含むことができる。殺生物剤としては、いずれかの好適な殺生物剤、例えば、イソチアゾリノン殺生物剤を挙げることができる。研磨組成物中の殺生物剤の量は、典型的には1ppm〜50ppm、そして好ましくは1ppm〜20ppmの範囲である。
研磨組成物は、いずれかの好適な技術を用いて調製することができ、それらの多くは当業者に知られている。研磨組成物は、バッチまたは連続プロセスで調製することができる。通常は、研磨組成物は、それらの成分をいずれかの順序で混合することによって調製することができる。ここで用いられる用語「成分」は、個々の成分(例えば、コロイド状シリカ、鉄含有促進剤、アミン化合物など)を含んでいる。
例えば、第1のシリカ研磨剤は、水性の液体キャリア中に分散されて、第1の分散液を得ることができる。次いで、第1の分散液は、例えば、アミノシラン化合物で処理されることができ、それによって少なくとも10mVの永久的な正の電荷を有するコロイド状シリカ研磨剤を生成する。第2のシリカ研磨剤は、水性の液体キャリア中に分散されて第2の分散液を得ることができる。次いで、第2の分散液は、例えば、第四級アミン化合物で処理することができ、それによって非永久的な正の電荷を有するシリカ研磨剤を生成する。第1および第2の処理された分散液は、次いで、他の成分(例えば、イオン含有促進剤および安定剤)を加える前に、互いに混合されることができる。あるいは、他の成分は、第1および第2の分散液を互いに混合する前に、処理された分散液の一方に加えることができる。第1および第2の分散液および他の成分は、適切な混合を得るために、いずれかの好適な技術を用いて互いに混合することができる。酸化剤は、研磨組成物の調製の間のいずれかの時間に加えることができる。例えば、研磨組成物は、1種もしくは2種以上の成分、例えば酸化剤を、CMP操作の直前に(例えば、CMP操作の1分間以内に、または10分間以内に、または1時間以内に、または1日間以内に、または1週間以内に)加えて、使用の前に調製することができる。また、研磨組成物は、CMP操作の間に、それらの成分を基材の表面で(例えば、研磨パッド上で)混合することによって調製することができる。
研磨剤組成物は、少なくとも10mVの永久的な正の電荷を有する第1のシリカ研磨剤、中性もしくは非永久的な正の電荷を有する第2のシリカ研磨剤、および他の随意選択的な成分、例えば鉄含有促進剤、安定剤、タングステンエッチング抑制剤、殺生物剤など含む1パッケージの系として供給することができる。酸化剤は、望ましくは、研磨組成物の他の成分とは別に供給され、そして例えば、最終使用者によって、研磨組成物の他の成分と、使用の直前に(例えば、使用の1週間以内前に、使用の1日間以内前に、使用の1時間以内前に、使用の10分間以内前に、または使用の1分間以内前に)混合される。種々の、他の、2容器型、または3もしくは4以上の容器型、研磨組成物のそれらの成分の組み合わせは、当業者の知識の範囲内である。
また、本発明の研磨組成物は、濃縮物として供給することができ、濃縮物は、使用の前に適切な量の水で希釈されることが意図されている。そのような態様では、研磨組成物濃縮物は、少なくとも10mVの永久的な正の電荷を有する第1のシリカ研磨剤、中性もしくは非永久的な正の電荷を有する第2のシリカ研磨剤、水、および他の随意選択的な成分、例えば鉄含有促進剤、安定剤、タングステンエッチング抑制剤、および殺生物剤を、酸化剤の有りもしくは無しで、濃縮物の適切な量の水、およびもしも適切な量で既に存在していない場合には酸化剤、での希釈によって、研磨組成物のそれぞれの成分が、研磨組成物中に、それぞれの成分について上記した適切な範囲内の量で存在するような量で、含むことができる。例えば、少なくとも10mVの永久的な正の電荷を有する第1のシリカ研磨剤、中性のもしくは非永久的な正の電荷を有する第2のシリカ研磨剤、および他の随意選択的な成分、例えば鉄含有促進剤、安定剤、タングステンエッチング抑制剤、および殺生物剤は、研磨組成物中に、それぞれの成分について上記で列挙した濃度よりも、それぞれが2倍(例えば、3倍、4倍、5倍、または更には10倍)超多い量で、この濃縮物が、適切な量の酸化剤とともに、等量の水(例えば、それぞれ2等量の水、3等量の水、4等量の水、または更には9等量の水)で希釈された場合に、それぞれの成分が、それぞれの成分について上記で説明した範囲内の量で研磨組成物中に存在するように、研磨組成物中に存在することができる。更に、当業者によって理解されるように、この濃縮物は、他の成分が、少なくとも一部が、または完全にこの濃縮物中に溶解することを確実にするように、最終的な研摩組成物中に存在する水の適切な一部を含むことができる。
本発明の研磨組成物は、いずれかの基材を研磨するのに用いることができるが、研磨組成物は、タングステンを含む少なくとも1種の金属および少なくとも1種の誘電体材料を含む基材の研磨に特に有用である。タングステン層は、例えば、チタンおよび/または窒化チタン(TiN)を含む、1つもしくは2つ以上の遮蔽層の上に堆積することができる。誘電体層は、金属酸化物、例えば、テトラエチルオルトシリケート(TEOS)から誘導された酸化ケイ素層、多孔質金属酸化物、多孔質もしくは非多孔質の炭素をドープされた酸化ケイ素、フッ素をドープされた酸化ケイ素、ガラス、有機ポリマー、フッ化有機ポリマー、またはいずれかの他の好適な高もしくは低−k絶縁層であることができる。
本発明の研磨方法は、特に、化学機械研磨(CMP)装置と共に使用するために特に好適である。典型的には、この装置は、使用する場合には、作動し、そして軌道、直線もしくは円形の動きからもたらされる速度を有するプラテン、プラテンと接触し、そして動作中にはプラテンと共に動く研磨パッド、ならびに研磨パッドの表面に対して接触し、そして動かすことによって研磨される基材を保持する支持体を含んでいる。基材の研磨は、基材が、研磨パッドおよび本発明の研磨組成物と接触して配置され、そして次いで研磨パッドを基材に対して動かして、基材(例えば、タングステン、チタン、窒化チタンおよび/またはここに記載した誘電体材料)の少なくとも一部を削り取って基材を研磨することによって起こる。
基材は、いずれかの好適な研磨パッド(例えば、研磨表面)とともに化学機械研磨組成物で平坦化または研磨することができる。好適な研磨パッドとしては、例えば織られた、および不織の研磨パッドが挙げられる。更には、好適な研磨パッドは、種々の密度、硬度、厚さ、圧縮性、圧縮への反発性、および圧縮弾性率のいずれかの好適なポリマーを含むことができる。好適なポリマーとしては、例えばポリ塩化ビニル、ポリフッ化ビニル、ナイロン、フッ化炭素、ポリカーボネート、ポリエステル、ポリアクリレート、ポリエーテル、ポリエチレン、ポリアミド、ポリウレタン、ポリスチレン、ポリプロピレン、それらの共形成された生成品、およびそれらの混合物が挙げられる。
以下の例は、本発明を更に説明するが、しかしながら、勿論のこと、本発明の範囲を限定するものであるとは決して理解されてはならない。
例1
ここに開示された例では、9種のシリカ研磨剤分散液から選択された第1および第2のシリカ研磨剤を用いて、混合シリカ研磨剤の研磨組成物が調製された。分散液A1、B1、C1およびD1は、アミノシラン(アミノプロピルトリアルコキシシラン)で処理されたコロイド状シリカ分散液を、そのコロイド状シリカ粒子が、10mV超の永久的な正の電荷を有するように、含んでいた。分散液A2、B2、C2およびD2は、水溶液中に分散されたコロイド状シリカ粒子を含んでいた。A1、A2、B1、B2、C1、C2、D1およびD2中のコロイド状シリカ研磨剤は、それぞれ部分的に凝集されたシリカ研磨剤を含んでいたが、そのなかでは50%以上のコロイド状シリカ研磨剤粒子が、2つの凝集した一次粒子を含んでいた。分散液F2は、水溶液中に分散された、150m/gの表面積を有する未処理のヒュームドシリカを含んでいた。それらの9種のシリカ研磨剤分散液についての更なる詳細が、表1に示されている。一次粒子径は、BET表面積測定値の慣用の球形外挿(spherical extrapolation)を用いて評価した。凝集粒子径は、CPSディスク遠心機、Model DC24000HR(CPS Instruments(Prairieville、ルイジアナ州)から入手可能)を用いて得た。
Figure 0006557251
例2
タングステン(W)研磨速度を、この例で、種々の研磨組成物について評価したが、研磨組成物のそれぞれは、例1の分散液A1、B1、C1およびD1から選択された第1および第2のシリカ研磨剤を含んでいた。この例では、第1および第2のシリカ研磨剤は、それぞれ10mV超の永久的な正の電荷を有するコロイド状シリカを含んでいた。この例では、第1および第2のシリカ研磨剤の相対的な量ならびに第1および第2のシリカ研磨剤の粒子径の、W研磨速度への効果を示している。それぞれの研磨組成物は、2.5のpHを有し、そして0.031質量%の硝酸第二鉄9水和物(Fe(NO・9HO)、0.04質量%のマロン酸、および2.4質量%び過酸化水素を含んでいた。W研磨速度は、W層を有する8インチのブランケットウエハを、Mirra(商標)CMP装置(Applied Materialsから入手可能)およびIC1010研磨パッドを用いて、2.5psiの下向き力、103rpmのプラテン速度、97rpmのヘッド速度、および150mL/分のスラリー流量で研磨することによって得た。研磨時間は2分間であった。第1および第2のシリカ研磨剤の質量パーセントおよび対応するW除去速度を、表2に示した。
Figure 0006557251
表2に示した結果から明らかなように、高いタングステン除去速度が、10mV超の永久的な正の電荷を有するシリカ研磨剤粒子の、広範囲な混合物を用いて得ることができる。特に、高いW研磨速度が、第1および第2のシリカ研磨剤の間に、少なくとも20nmの粒子径の差異がある場合に得ることができる。
例3
タングステン(W)研磨速度が、この例において、種々の研磨組成物について評価され、研磨組成物のそれぞれは、例1の分散液A1、B1、C1およびD1から選択された第1および第2のシリカ研磨剤を含んでいた。この例の第1および第2のシリカ研磨剤は、それぞれ10mV超の永久的な正の電荷を有するコロイド状シリカを含んでいた。また、この例は、第1および第2のシリカ研磨剤の相対的な量ならびに第1および第2のシリカ研磨剤の粒子径の、W研磨速度への効果を示している。それぞれの研磨組成物は、2.5のpHを有しており、そして0.031質量%の硝酸第二鉄9水和物、0.04質量%のマロン酸、および2.4質量%の過酸化水素を含んでいた。W研磨速度は、W層を有する8インチのブランケットウエハを、Mirra(商標)CMP装置(Applied Materialsから入手可能)およびIC1010研磨パッドを用いて、2.5psiの下向き力、103rpmのプラテン速度、97rpmのヘッド速度、および150mL/分のスラリー流量で研磨することによって得た。この例での研磨時間は1分間であった。第1および第2のシリカ研磨剤の質量パーセントおよび対応するW除去速度を、表3に示した。例2では、研磨組成物3Aおよび3Bは、例2の研磨組成物2Eおよび2Hに対応し、唯一の違いは研磨時間であることに注意しなければならない。
Figure 0006557251
表3に示した結果から明らかなように、高いタングステン除去速度が、10mV超の永久的な正の電荷を有するシリカ研磨剤粒子の、広範囲な混合物を用いて得ることができる。両方の粒子が永久的な正の電荷を有する場合には、第1のシリカ研磨剤が、60nm未満の粒子径を有している場合に、研磨速度は最も高いことが分かる。
例4
タングステン(W)研磨速度が、この例において、種々の研磨組成物で評価され、研磨組成物の3つは、例1の分散液B1およびD1から選択された第1および第2のシリカ研磨剤を含んでいた。この例は、第1および第2のシリカ研磨剤の相対的な量の、W研磨速度への効果を示している。それぞれの研磨組成物は、2.5のpHを有しており、そして0.031質量%の硝酸第二鉄9水和物、0.04質量%のマロン酸、2500ppmのグリシン、および2.4質量%の過酸化水素を含んでいた。W研磨速度は、W層を有する8インチのブランケットウエハを、Mirra(商標)CMP装置(Applied Materialsから入手可能)およびIC1010研磨パッドを用いて、2.5psiの下向き力、103rpmのプラテン速度、97rpmのヘッド速度、および150mL/分のスラリー流量で研磨することによって得た。この例での研磨時間は1分間であった。第1および第2のシリカ研磨剤の質量パーセントおよび対応するW除去速度を、表4に示した。
Figure 0006557251
表4に示された結果から明らかなように、分散液B1およびD1の混合物を含む研磨組成物4Cは、分散液B1およびD1を単独で含む研磨組成物4Aおよび4Bよりも高いW研磨を得た。
例5
タングステン(W)研磨速度が、この例において、種々の研磨組成物で評価され、研磨組成物の4つは、例1の分散液B1、B2およびC1から選択された第1および第2のシリカ研磨剤を含んでいた。この例は、第1および第2のシリカ研磨剤の相対的な量の、W研磨速度およびウエハをきれいにする(clear)時間(終点の時間)への効果を示している。それぞれの研磨組成物は、2.5のpHを有しており、そして0.031質量%の硝酸第二鉄9水和物、0.04質量%のマロン酸、2500ppmのグリシン、および2.4質量%の過酸化水素を含んでいた。W研磨速度は、W層を有する8インチのブランケットウエハを、Mirra(商標)CMP装置(Applied Materialsから入手可能)およびIC1010研磨パッドを用いて、2.5psiの下向き力、103rpmのプラテン速度、97rpmのヘッド速度、および150mL/分のスラリー流量で研磨することによって得た。この例での研磨時間は1分間であった。第1および第2のシリカ研磨剤の質量パーセントおよび対応するW除去速度およびウエハクリア(clear)時間を、表5に示した。
Figure 0006557251
表5に示された結果から明らかなように、混合研磨剤研磨組成物5Bおよび5C(分散液B2およびC2の混合物を含んでいる)は、対照組成物(5A)よりも高いW研磨速度を得た。更に、混合研磨剤の研磨組成物5Dおよび5E(永久的な正の電荷を有するコロイド状シリカ(B1)および非永久的な正の電荷を有するコロイド状シリカ(C2)の混合物を含んでいる)は、他の組成物のいずれよりも高いW研磨速度を得た。また、混合研磨剤の研磨組成物5Dおよび5Eは、他の組成物よりもより短い時間でウエハをきれいにする(clear)ことが観察され、CMPプロセスのより高い処理量への可能性を示している。
例6
タングステン(W)研磨速度が、この例において、種々の研磨組成物で評価され、研磨組成物の5つは、例1の分散液B1、D2およびF1から選択された第1および第2のシリカ研磨剤を含んでいた。この例は、第1および第2のシリカ研磨剤の相対的な量の、W研磨速度およびウエハをきれいにする(clear)時間(終点の時間)への効果を示している。それぞれの研磨組成物は、2.5のpHを有しており、そして0.031質量%の硝酸第二鉄9水和物、0.04質量%のマロン酸、および2.4質量%の過酸化水素を含んでいた。W研磨速度は、W層を有する8インチのブランケットウエハを、Mirra(商標)CMP装置(Applied Materialsから入手可能)およびIC1010研磨パッドを用いて、2.5psiの下向き力、103rpmのプラテン速度、97rpmのヘッド速度、および150mL/分のスラリー流量で研磨することによって得た。この例での研磨時間は2分間であった。第1および第2のシリカ研磨剤の質量パーセントおよび対応するW除去速度を、表6に示した。
Figure 0006557251
表6に示された結果から明らかなように、混合研磨剤の研磨組成物6B、6Cおよび6D(分散液B1およびF2の混合物を含んでいる)は、対照組成物6Aよりも高いW研磨速度およびより短いウエハクリア時間を得た。また、混合研磨剤の研磨組成物6Eおよび6F(分散液B1およびD2の混合物を含んでいる)は、対照組成物6Aよりも高いW研磨速度および短縮されたウエハクリア時間を得た。
例7
タングステン(W)研磨速度が、この例において、種々の研磨組成物で評価され、研磨組成物の6つは、例1の分散液B1、C1およびD2から選択された第1および第2のシリカ研磨剤を含んでいた。この例は、第1および第2のシリカ研磨剤の相対的な量の、W研磨速度およびウエハをきれいにする(clear)時間(終点の時間)への効果を示している。それぞれの研磨組成物は、2.5のpHを有しており(硝酸を用いて調整された)、そして0.031質量%の硝酸第二鉄9水和物、0.04質量%のマロン酸、100ppmのテトラブチルアンモニウムヒドロキシド(TBAH)、および2.4質量%の過酸化水素を含んでいた。W研磨速度は、W層を有する8インチのブランケットウエハを、Mirra(商標)CMP装置(Applied Materialsから入手可能)およびIC1010研磨パッドを用いて、2.5psiの下向き力、103rpmのプラテン速度、97rpmのヘッド速度、および150mL/分のスラリー流量で研磨することによって得た。この例での研磨時間は2分間であった。第1および第2のシリカ研磨剤の質量パーセントおよび対応するW除去速度を、表7に示した。
Figure 0006557251
表7に示された結果から明らかなように、研磨組成物7Bおよび7D(分散液B1およびD2およびC1およびD2の混合物を含んでいる)は、わずかに半分余りの固形分充填量を有するにもかかわらず、対照組成物7Aよりも高いW研磨速度およびより短いウエハクリア時間を得た。研磨組成物7E、7Fおよび7G(分散液C1およびD2の混合物を含んでいる)は、固形分充填量が半分またはそれ以下であるにもかかわらず、対照組成物7Aとほぼ同等のW研磨速度およびより短いウエハクリア時間を得た。研磨組成物7D(分散液C1およびD2の混合物を含んでいる)は、四分の一の固形分充填量を有する(0.8に対して0.2質量%)にもかかわらず、対照組成物7Aと比較して、ほぼ同等のW研磨速度およびウエハクリア時間を得た。
例8
ゼータ電位測定値および電導度測定値は、ろ過の前後に、種々の研磨組成物について得た。それぞれの組成物の200mLを、Millipore Ultracell再生セルロース限外濾過ディスク(100000ダルトンのMWカットオフおよび6.3nmの孔径を有する)を通してろ過(濃縮)した。残りの分散液(限外濾過ディスクによって保持された約65mL)を収集し、そして硝酸でpH2.6に調整した脱イオン水を用いて、当初の200mLの体積に補充した。この手順を、合計で3回の限外濾過サイクル(そのサイクルのそれぞれは、限外濾過工程および補充工程を含んでいる)で繰り返した。研磨組成物のゼータ電位を、限外濾過手順の前後に(すなわち、初期の研磨組成物および3回限外濾過および補充された研磨組成物)、Model DT 1202音響および電気−音響分光計(Dispersion Technologiesから入手可能)を用いて測定した。研磨組成物の電気伝導性は、標準の電導計を用いて、限外濾過手順の前後に測定した。
表8には、例1に記載された分散液C1、C2およびD2から作られた研磨組成物8A、8B、8Cおよび8Dについて測定されたゼータ電位および電導度の値が示されている。研磨組成物8Aは、分散液C1を用いて、DI水で2質量%の最終的なコロイド状シリカ濃度に希釈し、そして硝酸を用いてpHを2.6に調整することによって調製された。研磨組成物8Bは、分散液C2を用いて、DI水で2質量%の最終的なシリカ濃度に希釈し、そして硝酸を用いてpHを2.6に調整することによって調製した。コロイド状シリカは、0.01質量%のTBAHを用いて処理して、非永久的な正の電荷を有する粒子を得た。研磨組成物8Cは、分散液C2を用いて、DI水で2質量%の最終的なシリカ濃度に希釈し、そして硝酸を用いてpHを2.3に調整することによって調製した。コロイド状シリカ粒子は、未処理のままであった。研磨組成物8Dは、分散液C1およびD2を希釈および混合することによって調製され、それによって最終的な混合物は、C1分散液からの1.2質量%のコロイド状シリカ粒子および、D2分散液からの0.6質量%のコロイド状シリカ粒子を含んでいた。D2分散液は、0.01質量%のTBAHで更に処理されて、非永久的な正の電荷を有する粒子を得た。研磨組成物8Dは、0.031質量%の硝酸第二鉄9水和物および0.04質量%のマロン酸を更に含んでいた。
上記のように、最初の組成物のゼータ電位および電気伝導度を、上記の限外濾過手順の前後に測定した。3回の限外濾過および補充された研磨組成物の修正ゼータ電位値(1モル濃度(molar)の塩化カリウムの添加による電導度の変化によって示されるイオン強度差について修正された)もまた示されている。この例では、合計の固形分損失は、5%未満であり、そして従って固形分の修正は適用されていない。
Figure 0006557251
表8に示された結果から明らかなように、研磨組成物8Aのゼータ電位は、ろ過によって変化しておらず、コロイド状シリカは、41mVの永久的な正の電荷を有していたことを示している。研磨組成物8Bのゼータ電位は、10から3mVへと減少しており、コロイド状シリカ上の正の電荷は永久的ではないことを示している。研磨組成物8C(未処理のコロイド状シリカ粒子)のゼータ電位は、基本的に中性(4mV)であった。研磨組成物8Dのゼータ電位は、17から11mVへと中程度に減少した。理論によって拘束されることは望まないが、アミノシラン処理された粒子は、それらの永久的な正の電荷を保持することが信じられ(研磨組成物8Aでのように)、一方でTBAH処理された粒子上の非永久的な正の電荷は、有意に減少することが信じられる(研磨組成物8Bでのように)。この組み合わせは、ゼータ電位の中程度の減少を引き起こしていることが信じられる。
例9
粒子径分布を、例1の分散液B1、C1およびD2を用いて調製した5種の研磨組成物について評価した。研磨組成物9A、9Bおよび9Cは、分散液B1、C1およびD2をそれぞれDI水で希釈して、1質量%の最終的なコロイド状シリカ濃度にすることによって調製された。研磨組成物9Dは、分散液B1およびD2を希釈および混合することによって、最終的な混合物が、B1分散液からの0.2質量%のコロイド状シリカ粒子およびD2分散液からの0.3質量%のコロイド状シリカ粒子を含むように、調製された。研磨組成物9Eは、分散液C1およびD2を希釈および混合することによって、最終的な混合物が、C1分散液からの0.2質量%のコロイド状シリカ粒子およびD2分散液からの0.3質量%のコロイド状シリカ粒子を含むように、調製された。研磨組成物9Dおよび9Eでは、D1分散液は、非永久的な正の電荷を有する粒子を得るように、100ppm(質量基準)のTBAHで更に処理した。研磨組成物9Dおよび9Eは、193ppm(質量基準)の硝酸第二鉄9水和物、250ppm(質量基準)のマロン酸、および7ppm(質量基準)のKathon殺生物剤を更に含んでいた。5種の研磨組成物(9A、9B、9C、9Dおよび9E)のそれぞれのpHは、硝酸を用いて2.5に調整した。
それぞれの研磨組成物の粒子径分布は、CPSディスク遠心機、型式DC24000HR(CPS Instruments(Prairieville、ルイジアナ州)から入手可能)を用いて評価した。標準勾配(standard gradient)をCPSInstruments Autogradient(8%および24%のスクロース溶液を用いて)を用いて調製した。粒子径分布が、図1に示されている。
図1に示した結果から明らかなように、評価された研磨組成物は、異なる粒子径分布を有している。研磨組成物9Aは、50nmにピークを備えた粒子径分布を有している。研磨組成物9Bは、70nmにピークを備えた粒子径分布を有している。研磨組成物9Cは、115nmにピークを備えた粒子径分布を有している。研磨組成物9Dは、50nmに第1のピークおよび115nmに第2のピークを備えた二峰性の分布を有している。研磨組成物9Eは、75nmに第1のピークおよび110nmに第2のピークを備えた二峰性の分布を有している。
本明細書における値の範囲の記載は、特に断りのない限り、単に、その範囲に含まれるそれぞれの個々の値を個別に表すための簡便な方法としての役割を果たすことが意図されており、そしてそれぞれの個別の値は、それが明細書中に個々に記載されているように、本明細書中に組み込まれていることが理解されるであろう。ここに記載された全ての方法は、ここに特に断りのない限り、または文脈によって明確に否定されない限り、いずれかの好適な順序で行うことができる。ここで与えられる、いずれかの、そして全ての例の使用、または例示的な術語(例えば、「例えば」)は、本発明をより明らかにすることを単に意図しており、そして特に断りのない限り、本発明の範囲に限定を与えるものではない。本明細書のいずれの記載も、いずれかの特許請求されていない要素が、本発明の実施に必須であると解釈されてはならない。
本発明を実施するための、本発明者らに知られたベストモードを含めた、本発明の好ましい態様がここに記載されている。これらの好ましい態様の変更が、前述の説明を読むことによって、当業者には明らかとなるであろう。本発明者らは、当業者がそのような変更を必要に応じて用いることを想定しており、そして本発明者らは、本発明が、ここに具体的に記載したのとは別のように実施されることを意図している。従って、本発明は、適用可能な法によって許容されるように、ここに添付した特許請求の範囲に記載した主題の全ての変更および等価物を含んでいる。更に、上記の要素の、それらの全ての可能な変更のいずれかの組み合わせも、特に断りのない限り、または文脈によって明確に否定されない限り、本発明によって包含される。

Claims (26)

  1. タングステンを含む基材を研磨するために用いられる化学機械研磨組成物であって、
    水系の液体キャリア;
    該液体キャリアに分散された第1のシリカ研磨剤、該第1のシリカ研磨剤は、少なくとも10mVの永久的な正の電荷を有するコロイド状シリカ研磨剤である;ならびに、
    該液体キャリアに分散された第2のシリカ研磨剤、該第2のシリカ研磨剤は、中性の電荷または非永久的な正の電荷を有している、
    を含んでなる化学機械研磨組成物。
  2. 前記第1のシリカ研磨剤が、少なくとも20mVの永久的な正の電荷を有する、請求項1記載の組成物。
  3. 前記第1のシリカ研磨剤が、アミノシラン化合物を含む、請求項1記載の組成物。
  4. 前記アミノシラン化合物が、プロピル基含有アミノシランである、請求項3記載の組成物。
  5. 前記アミノシラン化合物が、ビス(2−ヒドロキシエチル)−3−アミノプロピルトリアルコキシシラン、ジエチルアミノメチルトリアルコキシシラン、(N,N−ジエチル−3−アミノプロピル)トリアルコキシシラン)、3−(N−スチリルメチル−2−アミノエチルアミノプロピルトリアルコキシシラン、アミノプロピルトリアルコキシシラン、(2−N−ベンジルアミノエチル)−3−アミノプロピルトリアルコキシシラン)、トリアルコキシシリルプロピル−N,N,N−トリメチルアンモニウムクロリド、N−(トリアルコキシシリルエチル)ベンジル−N,N,N−トリメチルアンモニウムクロリド、(ビス(メチルジアルコキシシリルプロピル)−N−メチルアミン、ビス(トリアルコキシシリルプロピル)尿素、ビス(3−(トリアルコキシシリル)プロピル)−エチレンジアミン、ビス(トリアルコキシシリルプロピル)アミン、ビス(トリアルコキシシリルプロピル)アミンおよびそれらの混合物からなる群から選択される、請求項3記載の組成物。
  6. 前記第2のシリカ研磨剤が、10mV未満の非永久的な正の電荷を有する、請求項1記載の組成物。
  7. (a)前記第1のシリカ研磨剤が、アミノシラン化合物を含み、かつ、
    (b)前記第2のシリカ研磨剤が、化学式Rを有するアンモニウム塩または化学式Rを有するホスホニウム塩と接触しており、ここでNは窒素を表し、Pはリンを表し、R、R、RおよびRは、独立してC〜Cアルキル基を表し、そしてXはアニオンを表す、
    請求項1記載の組成物。
  8. 前記第2のシリカ研磨剤の平均粒子径が、前記第1のシリカ研磨剤の平均粒子径に対して少なくとも20ナノメートル異なっている、請求項1記載の組成物。
  9. 前記第2のシリカ研磨剤の前記の平均粒子径が、前記第1のシリカ研磨剤の前記平均粒子径よりも少なくとも20ナノメートル大きい、請求項8記載の組成物。
  10. (a)前記第1のシリカ研磨剤の前記平均粒子径が、20〜110ナノメートルの範囲であり、かつ、
    (b)前記第2のシリカ研磨剤の前記平均粒子径が、90〜180ナノメートルの範囲である、
    請求項9記載の組成物。
  11. 前記第2のシリカ研磨剤が、熱分解法シリカ(pyrogenic silica)である、請求項1記載の組成物。
  12. 前記第2のシリカ研磨剤が、コロイド状シリカである、請求項1記載の組成物。
  13. (a)前記第1のシリカ研磨剤が、コロイド状シリカ研磨剤粒子を含み、該コロイド状シリカ研磨剤粒子の50%以上が、2つもしくは3つ以上が凝集された一次粒子を含み、かつ、
    (b)前記第2のシリカ研磨剤が、コロイド状シリカ研磨剤粒子を含み、該コロイド状シリカ研磨剤粒子の50%以上が、2つもしくは3つ以上が凝集された一次粒子を含む、
    請求項1記載の組成物。
  14. 1.5〜5の範囲のpHを有する、請求項1記載の組成物。
  15. 鉄含有促進剤を更に含む、請求項1記載の組成物。
  16. 前記鉄含有促進剤が、溶解性の鉄含有種を含み、かつ、前記組成物が、該溶解性の鉄含有種に結合された安定剤を更に含み、該安定剤が、酢酸、リン酸、フタル酸、クエン酸、アジピン酸、シュウ酸、マロン酸、アスパラギン酸、コハク酸、グルタル酸、ピメリン酸、スベリン酸、アゼライン酸、セバシン酸、マレイン酸、グルタコン酸、ムコン酸、エチレンジアミン四酢酸、プロピレンジアミン四酢酸、およびそれらの混合物からなる群から選択される、請求項15記載の組成物。
  17. 過酸化水素酸化剤を更に含む、請求項1記載の組成物。
  18. アミン含有化合物を含むタングステンエッチング抑制剤を更に含む、請求項1記載の組成物。
  19. 1.5〜5の範囲のpHを有し、かつ、
    鉄含有促進剤、および、
    アミン含有化合物を含むタングステンエッチング抑制剤、
    を更に含む、請求項1記載の組成物。
  20. タングステン層を含む基材を化学機械研磨する方法であって、
    (a)該基材を、以下の(i)〜(iii)を含む研磨組成物と接触させること、
    (i)水系の液体キャリア、
    (ii)該液体キャリアに分散された第1のシリカ研磨剤、該第1のシリカ研磨剤は、少なくとも10mVの永久的な正の電荷を有するコロイド状シリカ研磨剤である、および、
    (iii)該液体キャリア中に分散された第2のシリカ研磨剤、該第2のシリカ研磨剤は、中性の電荷もしくは非永久的な正の電荷を有している、
    (b)該研磨組成物を、該基材に対して動かすこと、ならびに、
    (c)該基材を削り取って、該基材から該タングステンの一部を除去し、そしてそれによって該基材を研磨すること、
    を含んでなる、方法。
  21. 前記第1のシリカ研磨剤が、プロピル基含有アミノシラン化合物を含む、請求項20記載の方法。
  22. 前記第2のシリカ研磨剤が、化学式Rを有するアンモニウム塩または化学式Rを有するホスホニウム塩と接触しており、ここでNは窒素を表し、Pはリンを表し、R、R、RおよびRは、独立してC〜Cアルキル基を表し、そしてXはアニオンを表す、請求項21記載の方法。
  23. 前記研磨組成物が、1.5〜5の範囲のpHを有し、かつ鉄含有促進剤を更に含む、請求項20記載の方法。
  24. 前記研磨組成物が、アミン含有化合物を含むタングステンエッチング抑制剤を更に含む、請求項20記載の方法。
  25. (a)前記第1のシリカ研磨剤の平均粒子径が、20〜110ナノメートルの範囲であり、
    (b)前記第2のシリカ研磨剤の平均粒子径が、90〜180ナノメートルの範囲であり、かつ、
    (c)前記第2のシリカ研磨剤の平均粒子径が、前記第1のシリカ研磨剤の平均粒子径よりも少なくとも20ナノメートル大きい、
    請求項20記載の方法。
  26. (a)前記第1のシリカ研磨剤が、コロイド状シリカ研磨剤粒子を含み、該コロイド状シリカ研磨剤粒子の50%以上が、2つもしくは3つ以上が凝集された一次粒子を含み、かつ、
    (b)前記第2のシリカ研磨剤が、コロイド状シリカ研磨剤粒子を含み、該コロイド状シリカ研磨剤粒子の50%以上が、2つもしくは3つ以上が凝集された一次粒子を含む、
    請求項20記載の方法。
JP2016558618A 2014-03-24 2015-03-20 混合研磨剤タングステンcmp組成物 Active JP6557251B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/222,716 US9127187B1 (en) 2014-03-24 2014-03-24 Mixed abrasive tungsten CMP composition
US14/222,716 2014-03-24
PCT/US2015/021671 WO2015148294A1 (en) 2014-03-24 2015-03-20 Mixed abrasive tungsten cmp composition

Publications (3)

Publication Number Publication Date
JP2017515302A JP2017515302A (ja) 2017-06-08
JP2017515302A5 JP2017515302A5 (ja) 2018-04-26
JP6557251B2 true JP6557251B2 (ja) 2019-08-07

Family

ID=54012470

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016558618A Active JP6557251B2 (ja) 2014-03-24 2015-03-20 混合研磨剤タングステンcmp組成物

Country Status (7)

Country Link
US (1) US9127187B1 (ja)
EP (1) EP3122836B1 (ja)
JP (1) JP6557251B2 (ja)
KR (1) KR102408747B1 (ja)
CN (1) CN106414650B (ja)
TW (1) TWI561619B (ja)
WO (1) WO2015148294A1 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10570313B2 (en) * 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
US10077381B2 (en) * 2015-07-20 2018-09-18 Kctech Co., Ltd. Polishing slurry composition
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
US9771496B2 (en) * 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
US9484212B1 (en) 2015-10-30 2016-11-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method
TWI625372B (zh) * 2016-01-06 2018-06-01 卡博特微電子公司 低介電基板之研磨方法
US11167995B2 (en) * 2016-03-30 2021-11-09 Fujimi Incorporated Method for producing cationically modified silica and cationically modified silica dispersion
KR102649775B1 (ko) * 2016-09-28 2024-03-20 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 4차 포스포늄 화합물을 포함하는 조성물 및 방법을 사용하는 텅스텐의 화학 기계적 연마
US20180094166A1 (en) 2016-09-30 2018-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cmp polishing composition comprising positive and negative silica particles
US9803108B1 (en) 2016-10-19 2017-10-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous compositions of stabilized aminosilane group containing silica particles
US9783702B1 (en) * 2016-10-19 2017-10-10 Rohm And Haas Electronic Materials Cmp Holdings Inc. Aqueous compositions of low abrasive silica particles
CN107243783B (zh) * 2017-08-09 2018-08-28 睿力集成电路有限公司 化学机械研磨方法、设备及清洗液
US10600655B2 (en) 2017-08-10 2020-03-24 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for tungsten
WO2019055749A1 (en) * 2017-09-15 2019-03-21 Cabot Microelectronics Corporation COMPOSITION FOR THE CHEMICAL MECHANICAL POLISHING (CMP) OF TUNGSTEN
KR102544609B1 (ko) * 2017-11-30 2023-06-19 솔브레인 주식회사 텅스텐 막 연마 슬러리 조성물
US20190185713A1 (en) * 2017-12-14 2019-06-20 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cmp slurry compositions containing silica with trimethylsulfoxonium cations
JP7028120B2 (ja) * 2018-09-20 2022-03-02 Jsr株式会社 化学機械研磨用水系分散体及びその製造方法、並びに化学機械研磨方法
US10464188B1 (en) * 2018-11-06 2019-11-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad and polishing method
US10569384B1 (en) * 2018-11-06 2020-02-25 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad and polishing method
US10968366B2 (en) 2018-12-04 2021-04-06 Cmc Materials, Inc. Composition and method for metal CMP
US20200172761A1 (en) * 2018-12-04 2020-06-04 Cabot Microelectronics Corporation Composition and method for silicon nitride cmp
JP7408386B2 (ja) 2018-12-28 2024-01-05 ニッタ・デュポン株式会社 研磨スラリー、及び、研磨スラリー用濃縮物
CN111378375B (zh) * 2018-12-28 2022-05-13 安集微电子科技(上海)股份有限公司 一种化学机械抛光液
US10676647B1 (en) * 2018-12-31 2020-06-09 Cabot Microelectronics Corporation Composition for tungsten CMP
US10604678B1 (en) * 2019-02-08 2020-03-31 Rohrn and Haas Electronic Materials CMP Holdings, Inc. Chemical mechanical polishing of tungsten using a method and composition containing quaternary phosphonium compounds
US11597854B2 (en) * 2019-07-16 2023-03-07 Cmc Materials, Inc. Method to increase barrier film removal rate in bulk tungsten slurry
KR102637819B1 (ko) * 2020-03-31 2024-02-16 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼의 연마 방법
JP2023520875A (ja) 2020-03-31 2023-05-22 シーエムシー マテリアルズ,インコーポレイティド 新規の研磨剤を含むcmp組成物
KR102623640B1 (ko) * 2020-07-22 2024-01-11 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼의 연마 방법
KR20220130543A (ko) * 2021-03-18 2022-09-27 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼의 연마 방법
KR20220130544A (ko) * 2021-03-18 2022-09-27 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼의 연마 방법
KR20220135977A (ko) * 2021-03-31 2022-10-07 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼의 연마 방법
KR102620964B1 (ko) * 2021-07-08 2024-01-03 에스케이엔펄스 주식회사 반도체 공정용 연마 조성물 및 이를 이용한 연마된 물품의 제조방법
KR102638622B1 (ko) 2021-07-22 2024-02-19 에스케이엔펄스 주식회사 반도체 공정용 연마 조성물 및 연마 조성물을 적용한 반도체 소자의 제조 방법

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US5958288A (en) 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6083419A (en) 1997-07-28 2000-07-04 Cabot Corporation Polishing composition including an inhibitor of tungsten etching
US5942015A (en) 1997-09-16 1999-08-24 3M Innovative Properties Company Abrasive slurries and abrasive articles comprising multiple abrasive particle grades
JP3810588B2 (ja) 1998-06-22 2006-08-16 株式会社フジミインコーポレーテッド 研磨用組成物
JP3523107B2 (ja) 1999-03-17 2004-04-26 株式会社東芝 Cmp用スラリおよびcmp法
CN1209429C (zh) 1999-07-07 2005-07-06 卡伯特微电子公司 含硅烷改性研磨颗粒的化学机械抛光(cmp)组合物
US6334880B1 (en) 1999-12-07 2002-01-01 Silbond Corporation Abrasive media and aqueous slurries for chemical mechanical polishing and planarization
US6313038B1 (en) * 2000-04-26 2001-11-06 Micron Technology, Inc. Method and apparatus for controlling chemical interactions during planarization of microelectronic substrates
US6646348B1 (en) 2000-07-05 2003-11-11 Cabot Microelectronics Corporation Silane containing polishing composition for CMP
JP4435391B2 (ja) 2000-08-04 2010-03-17 扶桑化学工業株式会社 コロイド状シリカスラリー
DE10065027A1 (de) 2000-12-23 2002-07-04 Degussa Wäßrige Dispersion, Verfahren zu deren Herstellung und Verwendung
US6656241B1 (en) 2001-06-14 2003-12-02 Ppg Industries Ohio, Inc. Silica-based slurry
TW591089B (en) 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US20030092271A1 (en) 2001-09-13 2003-05-15 Nyacol Nano Technologies, Inc. Shallow trench isolation polishing using mixed abrasive slurries
US20030211747A1 (en) 2001-09-13 2003-11-13 Nyacol Nano Technologies, Inc Shallow trench isolation polishing using mixed abrasive slurries
US7077880B2 (en) 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
JP3899456B2 (ja) * 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
DE10164262A1 (de) 2001-12-27 2003-07-17 Bayer Ag Zusammensetzung für das chemisch-mechanische Polieren von Metall- und Metall/Dielektrikastrukturen
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US6682575B2 (en) * 2002-03-05 2004-01-27 Cabot Microelectronics Corporation Methanol-containing silica-based CMP compositions
US7056449B2 (en) 2002-08-14 2006-06-06 Rohm And Haas Company Aqueous silica dispersion
US6896591B2 (en) 2003-02-11 2005-05-24 Cabot Microelectronics Corporation Mixed-abrasive polishing composition and method for using the same
US7044836B2 (en) 2003-04-21 2006-05-16 Cabot Microelectronics Corporation Coated metal oxide particles for CMP
TW200517478A (en) 2003-05-09 2005-06-01 Sanyo Chemical Ind Ltd Polishing liquid for CMP process and polishing method
US8309615B2 (en) 2003-08-04 2012-11-13 Rohm And Haas Company Aqueous silica dispersion
US7018560B2 (en) 2003-08-05 2006-03-28 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Composition for polishing semiconductor layers
US7022255B2 (en) 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US7247567B2 (en) 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US7056192B2 (en) 2004-09-14 2006-06-06 International Business Machines Corporation Ceria-based polish processes, and ceria-based slurries
US20060096179A1 (en) 2004-11-05 2006-05-11 Cabot Microelectronics Corporation CMP composition containing surface-modified abrasive particles
US20060124592A1 (en) 2004-12-09 2006-06-15 Miller Anne E Chemical mechanical polish slurry
JP2008546214A (ja) 2005-06-06 2008-12-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 集積された化学機械研磨組成物および単一プラテン処理のためのプロセス
US20070075042A1 (en) 2005-10-05 2007-04-05 Siddiqui Junaid A Stabilizer-Fenton's reaction metal-vinyl pyridine polymer-surface-modified chemical mechanical planarization composition and associated method
KR20070088245A (ko) 2006-02-24 2007-08-29 후지필름 가부시키가이샤 금속용 연마액
JP2007273910A (ja) * 2006-03-31 2007-10-18 Fujifilm Corp 研磨用組成液
US8163049B2 (en) 2006-04-18 2012-04-24 Dupont Air Products Nanomaterials Llc Fluoride-modified silica sols for chemical mechanical planarization
US8961677B2 (en) 2006-04-26 2015-02-24 Silbond Corporation Suspension of nanoparticles and method for making the same
US7585340B2 (en) 2006-04-27 2009-09-08 Cabot Microelectronics Corporation Polishing composition containing polyether amine
WO2007146680A1 (en) 2006-06-06 2007-12-21 Florida State University Research Foundation , Inc. Stabilized silica colloid
JP4836731B2 (ja) 2006-07-18 2011-12-14 旭硝子株式会社 磁気ディスク用ガラス基板の製造方法
WO2008015943A1 (en) 2006-07-31 2008-02-07 Fuso Chemical Co.Ltd. Silica sol and process for production thereof
US7691287B2 (en) 2007-01-31 2010-04-06 Dupont Air Products Nanomaterials Llc Method for immobilizing ligands and organometallic compounds on silica surface, and their application in chemical mechanical planarization
JP5322455B2 (ja) 2007-02-26 2013-10-23 富士フイルム株式会社 研磨液及び研磨方法
CN101641288B (zh) 2007-03-27 2013-07-03 扶桑化学工业株式会社 胶态二氧化硅及其制造方法
JP2008288398A (ja) * 2007-05-18 2008-11-27 Nippon Chem Ind Co Ltd 半導体ウェハーの研磨用組成物、その製造方法、及び研磨加工方法
US7915071B2 (en) 2007-08-30 2011-03-29 Dupont Air Products Nanomaterials, Llc Method for chemical mechanical planarization of chalcogenide materials
MY147729A (en) * 2007-09-21 2013-01-15 Cabot Microelectronics Corp Polishing composition and method utilizing abrasive particles treated with an aminosilane
JP5646996B2 (ja) 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
JP5441345B2 (ja) * 2008-03-27 2014-03-12 富士フイルム株式会社 研磨液、及び研磨方法
CN102046332B (zh) 2008-04-18 2013-04-10 圣戈班磨料磨具有限公司 磨料颗粒的亲水性以及疏水性硅烷表面改性
JP5619009B2 (ja) 2008-09-19 2014-11-05 キャボット マイクロエレクトロニクス コーポレイションCabot Microelectronics Corporation 低k誘電体のためのバリアースラリー
US8366959B2 (en) 2008-09-26 2013-02-05 Rhodia Operations Abrasive compositions for chemical mechanical polishing and methods for using same
KR101626179B1 (ko) 2008-09-26 2016-05-31 후소카가쿠코교 가부시키가이샤 굴곡 구조 및/또는 분지 구조를 갖는 실리카 이차 입자를 함유하는 콜로이달 실리카 및 그의 제조 방법
US20100096584A1 (en) * 2008-10-22 2010-04-22 Fujimi Corporation Polishing Composition and Polishing Method Using the Same
US8506831B2 (en) * 2008-12-23 2013-08-13 Air Products And Chemicals, Inc. Combination, method, and composition for chemical mechanical planarization of a tungsten-containing substrate
EP2389417B1 (en) * 2009-01-20 2017-03-15 Cabot Corporation Compositons comprising silane modified metal oxides
US8119529B2 (en) 2009-04-29 2012-02-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for chemical mechanical polishing a substrate
CN102449747B (zh) 2009-08-19 2015-09-16 日立化成株式会社 Cmp研磨液和研磨方法
JP2011216582A (ja) * 2010-03-31 2011-10-27 Fujifilm Corp 研磨方法、および研磨液
JP5141792B2 (ja) 2010-06-29 2013-02-13 日立化成工業株式会社 Cmp研磨液及び研磨方法
KR101243331B1 (ko) * 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
US8366059B2 (en) 2011-01-06 2013-02-05 GM Global Technology Operations LLC Position controlled cable guide clip
US8518135B1 (en) 2012-08-27 2013-08-27 Cabot Microelectronics Corporation Polishing composition containing hybrid abrasive for nickel-phosphorous coated memory disks
US9238754B2 (en) * 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303188B2 (en) * 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303189B2 (en) * 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP

Also Published As

Publication number Publication date
JP2017515302A (ja) 2017-06-08
CN106414650A (zh) 2017-02-15
WO2015148294A1 (en) 2015-10-01
KR20160138149A (ko) 2016-12-02
KR102408747B1 (ko) 2022-06-15
EP3122836B1 (en) 2019-08-14
EP3122836A1 (en) 2017-02-01
US9127187B1 (en) 2015-09-08
US20150267082A1 (en) 2015-09-24
TW201600590A (zh) 2016-01-01
TWI561619B (en) 2016-12-11
EP3122836A4 (en) 2017-11-08
CN106414650B (zh) 2019-02-15

Similar Documents

Publication Publication Date Title
JP6557251B2 (ja) 混合研磨剤タングステンcmp組成物
JP6633540B2 (ja) 混合研磨剤タングステンcmp組成物
JP6616394B2 (ja) タングステンのバフ研磨用組成物
JP7148395B2 (ja) タングステン含有表面を処理するのに有用な化学機械研磨組成物及びタングステンを含む表面を含む基材を化学機械研磨する方法
JP6612789B2 (ja) タングステンの化学機械研磨組成物
JP6560246B2 (ja) タングステンcmpのための組成物
JP6625997B2 (ja) タングステンcmp用の組成物
JP6889156B2 (ja) カチオン性界面活性剤を含むタングステン処理スラリー
JP6649266B2 (ja) タングステンcmpのための組成物
JP2019206718A (ja) 銅バリアの化学機械研磨組成物

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180308

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180308

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190514

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190611

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190711

R150 Certificate of patent or registration of utility model

Ref document number: 6557251

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350