JP5829396B2 - 位相調節可能なコイルアセンブリを備えたデュアルモード誘導結合プラズマ処理システム - Google Patents

位相調節可能なコイルアセンブリを備えたデュアルモード誘導結合プラズマ処理システム Download PDF

Info

Publication number
JP5829396B2
JP5829396B2 JP2010239093A JP2010239093A JP5829396B2 JP 5829396 B2 JP5829396 B2 JP 5829396B2 JP 2010239093 A JP2010239093 A JP 2010239093A JP 2010239093 A JP2010239093 A JP 2010239093A JP 5829396 B2 JP5829396 B2 JP 5829396B2
Authority
JP
Japan
Prior art keywords
coil
phase
coils
plasma
electrodes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010239093A
Other languages
English (en)
Other versions
JP2011091048A (ja
Inventor
バンナ サマー
バンナ サマー
エヌ トドロウ バレンタイン
エヌ トドロウ バレンタイン
エス コリンズ ケネス
エス コリンズ ケネス
ヌグエン アンドリュー
ヌグエン アンドリュー
ジェフ サリナス マーティン
ジェフ サリナス マーティン
チェン ジガング
チェン ジガング
アガーワル アンカー
アガーワル アンカー
パル アニルドハ
パル アニルドハ
チャング ワング ツェ
チャング ワング ツェ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011091048A publication Critical patent/JP2011091048A/ja
Application granted granted Critical
Publication of JP5829396B2 publication Critical patent/JP5829396B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

背景
(分野)
本発明の実施形態は概して、半導体処理機器及び、より具体的には、誘導結合プラズマ処理システムに関する。
(説明)
一般に、誘導結合プラズマ(ICP)処理リアクタは、処理チャンバ内の処理ガスに、この処理チャンバ外部に配置された1つ以上の誘導コイルを介して電流を誘導することよってプラズマを形成する。誘導コイルをチャンバ外部に配置し、例えば誘電性蓋部によってチャンバとは絶縁させる。一部のプラズマ処理では、ヒータ要素を誘電性蓋部上に配置することによって、処理中及び処理と処理との間で誘電性蓋部の温度を一定に維持する。
コイル、例えば2つのコイルを同軸に配置することによって、内方コイル及び外方コイルを構成する。各コイルは、反時計回り又は時計回りの同一方向に巻回される。両コイルは共通の高周波(RF)ソースによって駆動される。典型的には、RF整合回路がRFソースからのRF電力をRFスプリッタに結合する。RF電力は、内方コイル及び外方コイルの両方に同時に印加される。
特定の処理条件下、このようなICP処理リアクタのエッチング速度はM形状となり、ウェハの環状の中央部よりウェハの中心及び縁部のほうがエッチング速度は遅い。処理によっては、このようなエッチング速度プロファイルは大した問題ではない。しかしながら、例えばシャロートレンチアイソレーション(Shallow Trench Isolation:STI)処理においては、深さの均一性が重要である。このため、M形状のエッチング速度プロファイルが、正確な集積回路の形成にとって有害となる場合がある。更に、より微細な特徴部を技術が目指す中、基板全体でのエッチング速度の均一性はより重要となってきている。その他の不均一な処理結果の中でもM形状のエッチング速度プロファイルにはこのような精密な制御に限界があるため、デバイスの全体的な電気的性能が低下する。
従って、本発明者は、ICPソースのRF制御の強化を通して、改善されたエッチング速度均一性を有する誘導結合プラズマリアクタを提供する。
概要
デュアルモード誘導結合プラズマリアクタ及びその使用方法の実施形態を本願において提供する。一部の実施形態において、デュアルモード誘導結合プラズマ処理システムは、誘電性蓋部を有する処理チャンバ及びこの誘電性蓋部の上に配置されたプラズマソースアセンブリを含む。プラズマソースアセンブリは、RFエネルギーを処理チャンバに誘導結合することによってこの処理チャンバ内でプラズマを形成し及び維持するように構成された複数のコイルを含む。プラズマソースアセンブリは更に、各コイルに印加されるRF電流の相対位相を制御するための位相コントローラを備える。
一部の実施形態において、デュアルモード誘導結合プラズマ処理システムは、誘電性蓋部を有する処理チャンバと、この誘電性蓋部に近接して位置決めされた環状ヒータと、誘電性蓋部の上に配置されたプラズマソースアセンブリとを含み、このプラズマソースアセンブリは、第1方向に巻回された第1コイル及び第2方向に巻回された第2コイルを含み、これらの第1及び第2コイルは、RFエネルギーを処理チャンバ内に誘導結合することによって処理チャンバ内でプラズマを形成し及び維持するように構成されており、プラズマソースアセンブリは更に、各コイルに印加されるRF電流の相対位相を制御するための、第1及び第2コイルに連結された位相コントローラと、RFエネルギーを処理チャンバ内に容量結合することによって処理チャンバ内でプラズマを形成するように構成された、1つ以上のコイルの1つに電気的に結合される1つ以上の電極と、位相コントローラに及び中央給電部を介して各コイルに連結されたRF発生器とを含む。一部の実施形態において、第1方向及び第2方向は逆方向である。
一部の実施形態において、プラズマ形成方法は、誘電性蓋部と、この蓋部の上に配置された複数のコイルとを有する処理チャンバの内部容積に処理ガスを供給することを含む。RF電力を1つ以上のコイルにRF電源から供給する。RF電源が供給するRF電力を使用して、処理ガスからプラズマを形成する。RF電力は1つ以上のコイルによって処理ガスに誘導結合される。位相コントローラは、各コイルに印加されるRF電流の相対位相を制御する。
本発明の上記の構成が詳細に理解されるように、上記で簡単に要約した本発明のより具体的な説明を実施形態を参照して行う。実施形態の一部は添付図面に図示されている。しかしながら、添付図面は本発明の典型的な実施形態しか図示しておらず、本発明はその他の同等に効果的な実施形態も含み得ることから、本発明の範囲を制限すると解釈されないことに留意すべきである。
本発明の一部の実施形態によるデュアルモード誘導結合プラズマリアクタの概略側面図である。 本発明の一部の実施形態による電源アセンブリの概略図である。 本発明の一部の実施形態によるデュアルモード誘導結合プラズマリアクタの概略部分側面図である。 本発明の一部の実施形態によるRF給電構造を示す図である。 本発明の一部の実施形態による誘導結合プラズマ装置の概略上面図である。 本発明の一部の実施形態によるプラズマ形成方法のフローチャートである。 同相の電力を使用したエッチング速度プロファイル及び異相の電力を使用したエッチング速度プロファイルそれぞれの図である。
円滑な理解のために、可能な限り、図に共通する同一の要素は同一の参照番号を使用して表した。図は正確な縮尺で描かれてはおらず、明確にするために簡略化する場合もある。一実施形態における要素及び構成を、特に記載することなくその他の実施形態で便宜上利用することも考えられる。
詳細な説明
デュアルモード誘導結合プラズマリアクタ及びその使用方法の実施形態を本明細書において提供する。本発明の誘導結合プラズマリアクタは、有利には、リアクタの各コイルに印加される高周波(RF)電流の相対位相を制御することを通して、改善された及び/又は制御されたプラズマ処理(例えば、エッチング均一性等)をもたらし得る。更に、本願で提供される本発明の誘導結合プラズマリアクタは、有利には、両コイルの電流が同相である標準モードと、一対の誘導RFコイルを流れるRF電流の位相を制御する位相制御モードで動作し得ることから、例えば、両コイルのRF電流を同相から異相に切り替えることができる。このようなデュアルモード動作は、一部の処理では高い性能を必要とするが、その他の処理をその処理に非対応の新しい機器では実行したくない顧客にとって、また標準動作モードで既に十分な性能が得られる場合に有利である。
図1は、本発明の一部の実施形態によるデュアルモード誘導結合プラズマリアクタ(リアクタ100)の概略側面図である。リアクタ100は単体で又は統合半導体基板処理システムすなわちクラスタツール(カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能なセンチュラ(Centura、商標名)統合半導体ウェハ処理システム等)の処理モジュールとして利用することができる。本発明の実施形態に従った改変が有益となり得る適切なプラズマリアクタの例には誘導結合プラズマエッチリアクタが含まれ、例えば、同じくアプライドマテリアルズ社から入手可能なDPS(商標名)シリーズの半導体機器(DPS(商標名)、DPS(商標名) II、DPS(商標名) AE、DPS(商標名) G3ポリエッチャ、DPS(商標名) G5その他等)である。半導体機器の上記の一覧は単なる例であって、その他のエッチングリアクタ及び非エッチング機器(CVDリアクタ、その他の半導体処理機器等)も本発明の教示に従って適切に改変することができる。
プラズマリアクタは、処理チャンバ110の上に配置されたプラズマソースアセンブリ160も含む。アセンブリ160は、整合回路119、位相コントローラ104及び複数のコイル(例えば、第1又は内方RFコイル109及び第2又は外方RFコイル111)を備える。アセンブリ160は更に、RF電源118を複数のRFコイル(例えば、第1及び第2RFコイル109、111)に連結するためのRF給電構造106を含んでいてもよい。一部の実施形態において、複数のRFコイルは処理チャンバ110に近接して(例えば、処理チャンバの上)同軸に配置され、またRF電力を処理チャンバ110に誘導結合することによって処理チャンバ110内に供給された処理ガスからプラズマを形成するように構成される。
RF電源118は、整合回路119を介してRF給電構造106に連結される。位相コントローラ104を設置することによって、第1及び第2RFコイル109、111にそれぞれ供給されるRF電力を調節してもよい。位相コントローラ104は整合回路119とRF給電構造106との間に連結することができる。或いは、位相コントローラが整合回路119の一部であってもよく、この場合、整合回路はRF給電構造106に連結される2つの出力を有し、出力はRFコイル109、111に各自対応する。
RF給電構造106は、位相コントローラ104(又は位相コントローラが組み込まれた整合回路119)からのRF電流を各RFコイルに結合する。一部の実施形態において、RF給電構造106は、RF電流をRFコイルに対称的に供給するように構成されていることから、RF電流は各コイルにRFコイルの中心軸に対して幾何学的に対称に結合される。RF給電構造の一部の実施形態を、図4A〜Bに関連して以下でより詳細に説明する。
一般に、リアクタ100は、導電性本体部(壁部)130及び誘電性蓋部120(この2つが一緒になって処理容積を画成する)を有する処理チャンバ110、処理容積内に配置された基板支持台座部116、プラズマソースアセンブリ160並びにコントローラ140を含む。壁部130は典型的にはアース134に連結される。一部の実施形態において、支持台座部(カソード)116は、第1整合回路124を介してバイアス電源122に連結される。バイアス電源122は、例えば、最高1000W、周波数約13.56MHzの電力の供給源であり、連続電力又はパルス電力のいずれかを発生可能である。ただし、特定の用途に合わせて望ましくその他の周波数及び電力を供給することもできる。その他の実施形態において、電源122はDC又はパルスDC電源である。
一部の実施形態においては、リンク170を設置してRF電源118とバイアス電源122とを連結することによって、電源同士の動作の同期を円滑に行なう。どちらかのRF電源が主導側、すなわちマスターRF発生器となり、もう一方の発生器が従属する又はスレーブとなる。リンク170は更に、RF電源118及びバイアス電源122の完全な同期又は望ましいオフセット又は位相差での動作を促進することができる。位相制御は、RF電源のいずれか若しくは両方又はRF電源間のリンク170内に配置された回路によって行なうことができる。ソース/バイアスRF発生器(例えば、118、122)間のこの位相制御は、RF電源118に連結された複数のRFコイルを流れるRF電流についての位相制御とは独立して実行し及び制御することができる。ソース及びバイアスRF発生器間の位相制御についての更なる詳細は、S.バンナ(Banna)らにより2009年5月13日に出願された共有の米国特許出願第12/465319号「METHOD AND APPARATUS FOR PULSED PLASMA PROCESSING USING A TIME RESOLVED TUNING SCHEME FOR RF POWER DELIVERY」に見ることができ、この文献は引用により全て本願に組み込まれる。
一部の実施形態において、誘電性蓋部120は実質的に平坦である。チャンバ110のその他の変形は、別のタイプの蓋部、例えばドーム型蓋部又はその他の形状の蓋部等を有し得る。プラズマソースアセンブリ160は典型的には蓋部120上に配置され、またRF電力を処理チャンバ110に誘導結合するように構成される。プラズマソースアセンブリ160は、複数の誘導コイル及びプラズマ電源を含む。一部の実施形態においては、1つ以上の電極112及び112も、以下でより詳細に説明するように、複数のコイルの1つ以上に連結される。複数の誘導コイルは誘電性蓋部120上に配置することができる。図1に示されるように、2つのコイル(内方コイル109及び外方コイル111)が蓋部120上に配置された状態で例示されている。コイルは、例えば、外方コイル111内に内方コイル109を配置する同心円状の配列である。各コイルの相対位置、直径比及び/又は各コイルの巻きの回数は、例えば、形成するプラズマのプロファイル又は密度を制御するのに望ましいように各自調節可能である。複数の誘導コイル(例えば、図1のコイル109、111)の各コイルは、第2整合回路119を介してプラズマ電源118に連結される。プラズマ電源118は、例えば、最高4000Wを50kHz〜13.56MHzの範囲の可変周波数で発生させることが可能だが、その他の周波数及び電力を特定の用途に応じて望ましく供給してもよい。
一部の実施形態において、位相コントローラ104は、コイル109及び111に印加されるRF電力を分割することによって、プラズマ電源118によって各コイルに供給されるRF電力の相対量を制御し、また印加された電流の相対位相を制御する。例えば、図1に示されるように、位相コントローラ104は、各コイルに供給されるRF電力の量及び位相を制御するために、内方コイル109及び外方コイル111をプラズマ電源118に連結しているライン上に配置される(これによって、内方及び外方コイルに対応するゾーンにおけるプラズマ特性並びにエッチング速度均一性の制御が円滑に行なわれる)。プラズマに結合される電力量を最大化するために、整合回路119はRF電源118と位相コントローラ104との間に配置される。
1つ以上の任意の電極は、複数の誘導コイルの1つ(例えば、図1に示されるように、内方コイル109又は外方コイル111)に電気的に連結される。例示的で非限定的な一実施形態において、また図1に示されるように、プラズマソースアセンブリ160の1つ以上の電極は、内方コイル109と外方コイル111との間に配置され且つ誘電性蓋部120に近接する2つの電極112、112である。各電極112、112は、内方コイル109又は外方コイル111のいずれかに電気的に連結することができる。図1に示されるように、各電極112、112は、各電気コネクタ113、113を介して外方コイル111に連結される。RF電力は1つ以上の電極にプラズマ電源118を介して、電極が連結された誘導コイル(例えば、図1の内方コイル109又は外方コイル111)を介して供給することができる。このような電極の使用については、V.トドロウ(Todorow)らにより2008年6月30日に出願され、本発明の譲受人に譲渡された米国特許出願第12/182342号「Field Enhanced Inductively Coupled Plasma(FE−ICP)リアクタ」に記載されている。
一部の実施形態において、また図1に示されるように、位置決め機構115、115を各電極(例えば、電極112、112)に連結することによって、(縦方向の矢印102及び電極112、112の仮想線で描かれた延長状態によって示されるように)その位置及び方向を独立して制御する。一部の実施形態において、位置決め機構は、これら1つ以上の電極のそれぞれの縦方向の位置を独立して制御する。例えば、図4Aに示されるように、電極112の位置は、位置決め機構115によって、位置決め機構115によって制御される電極112の位置とは独立して制御される。加えて、位置決め機構115、115は更に、電極(又は1つ以上の電極によって画成される電極面)の角度又は傾きを制御することができる。
ヒータ要素121を誘電性蓋部120の上に配置することによって、処理チャンバ110の内部の加熱を促進してもよい。ヒータ要素121は、誘電性蓋部120と誘導コイル109、111、電極112A−Bとの間に配置することができる。一部の実施形態において、ヒータ要素121は抵抗加熱要素を含み、AC電源等の電源123に連結される。この電源は、十分なエネルギーを供給してヒータ要素121の温度を約50〜約100℃に制御するように構成される。一部の実施形態において、ヒータ要素121は開放型の切れ目のあるヒータである。一部の実施形態において、ヒータ要素121は環状要素等の切れ目のないヒータを含み、これによって処理チャンバ110内での均一なプラズマ形成が促進される。
運転中、基板114(プラズマ処理に適した半導体ウェハ又はその他の基板等)は台座部116上に載置され、処理ガスはガスパネル138からエントリポート126を通して供給され、処理チャンバ110内でガス混合物150が生成される。このガス混合物150は、プラズマ電源118から誘導コイル109、111及び、使用する場合は、1つ以上の電極(例えば、112及び112)に電力を印加することによって、処理チャンバ110内で点火されてプラズマ155となる。コントローラ140は位相コントローラ104に指示を出して各コイルへのRF電力の相対位相を調節し、エッチング速度プロファイルを制御する。一部の実施形態においては、バイアス電源122からの電力も台座部116に供給される。チャンバ110内部の圧力は、スロットルバルブ127及び真空ポンプ136を使用して制御することができる。チャンバ壁部130の温度は、壁部130を通る液体用導管(図示せず)を使用して制御することができる。
ウェハ114の温度は、支持台座部116の温度を安定させることによって制御することができる。一実施形態においては、ガス供給源148からのヘリウムガスを、ガス導管149を介してウェハ114の背面と台座部表面に配置された溝(図示せず)との間に画成されたチャネルに供給する。ヘリウムガスは、台座部116とウェハ114との間の熱伝達を促進するために使用される。処理中、台座部116を、台座部内の抵抗ヒータ(図示せず)によって定常温度にまで加熱することができ、ヘリウムガスはウェハ114の均一な加熱を促進する。このような熱制御を利用することによって、ウェハ114は例えば0〜500℃に維持される。
コントローラ140は、中央演算処理装置(CPU)144、メモリ142及びCPU144用のサポート回路146を備えており、本願で説明したようなリアクタ100の部品ひいてはプラズマ形成方法の制御を円滑にする。コントローラ140は、様々なチャンバ及びサブプロセッサを制御するための、工業環境で使用可能ないずれの形態の汎用コンピュータプロセッサの1つであってもよい。CPU144のメモリ又はコンピュータ可読媒体142は1つ以上の容易に入手可能なメモリ、例えばランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピーディスク、ハードディスク又はその他のいずれの形態のローカル若しくはリモートデジタルストレージであってもよい。サポート回路146はCPU144に連結され、慣用のやり方でプロセッサをサポートする。これらの回路はキャッシュ、電源、クロック回路、入力/出力回路、サブシステム等を含む。本発明の方法は、実行又は呼び出しによって上述のやり方でリアクタ100の動作を制御するソフトウェアルーチンとしてメモリ142に保存することができる。特に、コントローラ140は位相コントローラを制御することによって、コイル109、111に結合されるRF電力の相対位相を調節する。ソフトウェアルーチンを、CPU144によって制御されるハードウェアとは離れて位置する第2CPU(図示せず)で保存する及び/又は実行してもよい。
図2は、本発明の一部の実施形態におけるプラズマソースアセンブリ160の概略図である。アセンブリ160は、整合回路119、位相コントローラ104及び複数のコイル(例えば、コイル109、111)を備える。整合回路119は、正弦波の実施形態において、固定インダクタ202に直列に連結された可変コンデンサ200(分岐コンデンサ)を備える慣用の回路であってもよい。コンデンサ200及びインダクタ202は、入力204からアース206に連結される。直列に接続された可変コンデンサ208(直列コンデンサ)は入力を、整合回路119の出力に接続する。コンデンサ200、208及びインダクタ202は、L型の回路形態の整合回路110を形成する。その他の実施形態では、固定コンデンサ及び/又は可変インダクタをL型、Π型又はその他の回路形態で使用する。
整合回路119の出力は、コイル109、111及び位相コントローラ104に連結される。回路の抵抗部品は要素210、212によって表される。本発明の一部の実施形態において、外方コイル111及び内方コイル109は直列に接続される。外方コイル111の第1端子214は整合回路119に連結される。第2端子216は、コンデンサ218を通ってアース206へと及び内方コイル109の第1端子220に連結される。内方コイル109の第2端子222は、可変コンデンサ224を介してアース206に連結される。可変コンデンサ224は内方コイル109及び外方コイル111のそれぞれを流れるRF電流の電流比を制御する分割コンデンサであってもよい。コンデンサ218及び224は、各コイル109、111を流れるRF電流の相対位相を制御する位相コントローラ104を構成する。一部の実施形態において、コンデンサ218は固定値を有し、コンデンサ224は可変である。例えば、一部の実施形態において、コンデンサ218は約100pF〜約2000pFの固定値を有し、コンデンサ224は約100pF〜約2000pFのいずれかの範囲の値を有する。一部の実施形態において、コンデンサ218、224は共に可変である。
一部の実施形態においては、外方コイル111及び内方コイル109が直列で接続される場合、コイル間のコネクタは、リアクタのプラズマ点弧能を強化可能な容量性RF電極として機能可能である(例えば、コイル間の接続は、上述した電極112である)。
図2の実施形態において、コンデンサ224の調節によって、各コイルのRF電流の相対位相は変化する。コンデンサ218は同相の運転についての設定点を確立し、次にコンデンサ224を調節して相対位相を変化させることによって、各コイルへの異相電流の印加を達成する。電流の位相を変化させることによって、コイルによって発生する磁場間の干渉が変化する。干渉は、電流の相対的な電流位相に応じて、建設的干渉又は相殺的干渉となる。干渉を微調整することによって、特殊な処理結果を達成可能である。コイルアセンブリ160又はソースアセンブリの電気回路全体の共振又は近共振を引き起こし得るコンデンサ224又は218のキャパシタンス値の範囲がある。この共振近くで運転するとコンデンサ及び/又はコイルの電圧が高くなるため、その範囲での運転を制限する又は回避すべきである。従って、キャパシタンスを、典型的には、同相の電流の印加又は180°異相の電流の印加を引き起こすように選択することによって、特殊な処理結果(例えば、エッチング速度におけるM形状パターンの軽減、深さの均一性、シャロートレンチアイソレーション(STI)用途の場合のセルのマイクロローディングの制御)を達成する。
本発明の一部の実施形態において、コイル109、111は反対方向(それぞれ時計回り及び反時計回り)に巻回される。例示的な一実施形態において、内方コイルは2、4、8又は16巻き及び直径約5インチを有し、外方コイルは2、4、8又は16巻き及び直径約15インチを有する。巻回の数及びコイル直径が、コイルのインダクタンスを決定し、これらは望ましいように選択される。加えて、各コイルは複数の脚部(例えば、共通の給電部に連結された複数の並列接続されたコイル)を備え、各脚部はアースに連結される又はコンデンサを通してアースに連結される(例えば、図5A〜Bに関連した以下の説明を参照のこと)。脚部の数は、デザインの幾何学的対称性を維持しながら所望のインダクタンスを達成するように選択することができる。一部の実施形態において、共通給電部は中央給電部(例えば、図4A〜Bに関連した以下の説明を参照のこと)である。このような中央給電されるコイルアセンブリは、Z.チェン(Chen)らにより2009年10月26日に出願された米国特許出願第61/254838号「RF FEED STRUCTURE FOR PLASMA PROCESSING」及びV.N.トドロウ(Todorow)らにより2009年10月26日に出願された米国特許出願第61/254833号「INDUCTIVELY COUPLED PLASMA APPARATUS WITH PHASE CONTROL」に見ることができ、各文献は引用により全て本願に組み込まれる。
一部の実施形態において、RF電源118によって第1又は第2RFコイルのそれぞれに送られるRF信号の位相は、これらのコイルに連結された位相シフト装置を使用して制御可能である。一部の実施形態において、位相コントローラ302は、特定のRFコイルを流れるRF電流の位相をシフトさせるために、第1又は第2RFコイルに連結可能である。例えば、一部の実施形態において、位相コントローラ302は、例えばコンデンサ及びインダクタに基づいた時間遅延回路であり、RFコイルの1つに向かうRF信号を制御して遅延させるのに適している。一部の実施形態において、図3Aに示されるように、位相コントローラ302は、第1コイル109を流れるRF電流の位相をシフトさせるために、RF給電構造106と第1コイル109との間に配置される。しかしながら、位相コントローラ302の実例は単なる例であって、位相コントローラは、第1RFコイル109ではなく第2RFコイル111にも連結可能である。
運転中、RF信号はRF電源118によって生成される。RF信号は整合回路119(及び、実施形態によっては複数のRFコイルのそれぞれに供給されるRF電流の比を制御する電力分割器105)を通り、信号は分割され、各RFコイルに送られる。一部の実施形態において、電力分割器は分割コンデンサである。一部の実施形態において、RF信号はそれ以上変化させられることなく第2RFコイル111に進入する。しかしながら、第1RFコイル109に結合されるRF信号はまず位相コントローラ302に進入し、その位相が、第1RFコイル109への進入に先立って制御される。従って、位相コントローラ302によって、第1RFコイル109を流れるRF電流の相対位相が第2RFコイル111に対して0〜360°制御される。このようにして、プラズマの電場の建設的干渉又は相殺的干渉の量が制御される。位相を同相(すなわち位相が0°ずれる)に制御する場合、装置は標準モードで運転可能である。一部の実施形態において、第1RFコイル109を流れるRF電流は、第2RFコイル111を流れるRF電流とは位相が180°ずれている。
一部の実施形態において、例えば、図3Bに示されるように、RFコイルのいずれか又は両方は、各コイルとアースとの間に配置されるブロックコンデンサを更に有する。例えば、図3Bにおいて、ブロックコンデンサ302が、第1RFコイル109とアースとの間に連結された状態で図示され、ブロックコンデンサ304が、第2RFコイル111とアースとの間に連結された状態で図示されている。或いは、ブロックコンデンサをRFコイルの一方にだけ連結してもよい。各コイルが複数の導電性要素を備える実施形態において(図5A〜Bに関連して以下でより詳細に説明するように)、ブロックコンデンサは各導電性要素とアースとの間に配置される。ブロックコンデンサは固定値を有していても可変であってもよい。可変である場合、ブロックコンデンサは更に、手動又はコントローラ(コントローラ140等)を介して調節可能となり得る。一方のRFコイルに連結されたブロックコンデンサの値の制御又は両方のコイルに連結されたブロックコンデンサのそれぞれの値の制御によって、RFコイルを流れるRF電流の位相の制御が円滑に行なわれる。
図4A〜Bは、例示的なRF給電構造106の実施形態を示す。この例示的なRF給電構造に関する更なる詳細は、前出の米国特許出願第61/254838号に見つけることができる。例えば、図4A〜Bは、本発明の一部の実施形態によるRF給電構造106を示す。図4Aに示されるように、RF給電構造106は、第1RF給電部402及びこの第1RF給電部402に対して同軸に配置された第2RF給電部404を含む。第1RF給電部402は第2RF給電部404から絶縁される。一部の実施形態において、RF給電構造106は実質的に線形であり、中心軸401を有する。本願において、実質的に線形(substantially linear)とは、RF給電構造の軸長さに沿った形状のことであり、フランジや、RF給電構造要素の端部付近に形成され得る、例えば整合回路若しくは位相コントローラの出力又はRFコイルの入力への連結を円滑に進めるためのその他の構成は除外する。一部の実施形態において、また図示されるように、第1及び第2RF給電部402、404は実質的に線形であり、第2RF給電部404は第1RF給電部402の周囲に同軸に配置される。第1及び第2RF給電部402、404は、RF電力をRFコイルに結合するためのいずれの適切な導電材料から形成してもよい。例示的な導電材料には銅、アルミニウム、その合金その他が含まれる。第1及び第2RF給電部402、404は1種以上の絶縁材料(例えば、空気、テフロン(商標名)等のフルオロポリマー、ポリエチレンその他)によって絶縁される。
第1RF給電部402及び第2RF給電部404はそれぞれ第1又は第2RFコイル109、111に別々に連結される。一部の実施形態において、第1RF給電部402は第1RFコイル109に連結される。第1RF給電部402は、RF電力を結合するための導電性ワイヤ、ケーブル、バー、チューブ又はその他の適切な導電性要素の1種以上を含み得る。一部の実施形態において、第1RF給電部402の断面は実質的に円形である。第1RF給電部402は第1端部406及び第2端部407を含み得る。第2端部407は(図示のように)整合回路119の出力、(図3に示されるように)電力分割器又は(図1に示されるように)位相コントローラに連結することができる。例えば、図4Aに示されるように、整合回路119は、2つの出力432、434を有する電力分割器430を含み、第1RF給電部402の第2端部407はこの2つの出力の一方(例えば、432)に連結される。
第1RF給電部402の第1端部406は、第1コイル109に連結することができる。第1RF給電部402の第1端部406は第1コイル109に直接連結しても、介在支持構造(図4Aにおいてはベース408が示される)を介して連結してもよい。ベース408は円形又はその他の形状であり、第1コイルを連結するための対称的に配置された連結点を含み得る。例えば、図4Aにおいては、2つの端子428がベース408の両側に配置された状態で図示されており、例えばネジ429を介して第1RFコイルの2つの部位に連結している(ただし、連結はいずれの適切なやり方で行なってもよく、例えば固締具の使用、溶接等である)。
一部の実施形態において、また図5A〜Bに関連して以下にて更に説明するように、第1RFコイル109(及び/又は第2RFコイル111)は、複数のインターライン型(interlineated)の対称的に配置された積層コイル(例えば、2つ以上)を含む。例えば、第1RFコイル109は、コイル状に巻回された複数の導体を含み、各導体が同じ円筒面を占める。各インターライン型積層コイルは更に、そこから内方向にコイルの中心軸に向かって延びる脚部410を有し得る。一部の実施形態において、各脚部はコイルから半径方向内側にコイルの中心軸に向かって延びる。各脚部410はベース408及び/又は第1RF給電部402を中心として互いに対称的に配置される(例えば、2つの脚部なら180°離間され、3つの脚部なら120°離間され、4つの脚部なら90°離間されるその他)。一部の実施形態において、各脚部410は各RFコイル導体の一部であり、内方向に延びて第1RF給電部402と電気的に接触する。一部の実施形態において、第1RFコイル109は複数の導体を含み、各導体は、コイルから内方向に延びて、対称的に配置された連結点(例えば、端子428)のそれぞれでベース408に連結される脚部410を有する。
第2RF給電部404は、第1RF給電部402を中心として同軸に配置される導電性チューブ403であってもよい。第2RF給電部404は更に、第1及び第2RFコイル109、111に近接した第1端部412並びにこの第1端部412とは反対側の第2端部414を含み得る。一部の実施形態において、第2RFコイル111は第2RF給電部404に第1端部412でフランジ416を介して連結される。或いは、第2RFコイル111は直接、第2RF給電部404に連結される(図示せず)。フランジ416は円形又はその他の形状であり、第2RF給電部404の周囲に同軸に配置される。フランジ416は更に、第2RFコイル111を連結するための、対称的に配置された連結点を含んでいてもよい。例えば、図4Aにおいては、2つの端子426が第2RF給電部404の両側に配置された状態で示されており、第2RFコイル111の2つの部位に、例えばネジ427を介して連結されている(ただし、連結はいずれの適切なやり方で行なってもよく、端子428に関して上述した通りである)。
第1コイル109と同様に、また図5A〜Bに関連して以下でより詳細に説明するように、第2RFコイル111は、複数のインターライン型の対称的に配置された積層コイルを含み得る。各積層コイルは、そこから延びる、対称的に配置された連結点の1つでもってフランジ416へと連結される脚部418を有し得る。従って、各脚部418はフランジ216及び/又は第2RF給電部404を中心として対称的に配置される。
第2RF給電部404の第2端部414は、(図示のように)整合回路119、(図3に示されるように)電力分割器又は(図1に示されるように)位相コントローラに連結することができる。例えば、図4Aに示されるように、整合回路119は2つの出力432、434を有する電力分割器430を含む。第2RF給電部404の第2端部414は、整合回路119の2つの出力の一方(例えば、434)に連結することができる。第2RF給電部404の第2端部414は、整合回路119に導電性要素420(例えば、導電性ストラップ)を介して連結することができる。一部の実施形態において、第2RF給電部404の第1及び第2端部412、414は、導電性要素420によって生じ得る磁場の非対称性の影響を制限するに十分な長さ422をもって隔てられている。必要な長さは、処理チャンバ110で使用する予定のRF電力に左右され、供給電力が大きければ大きいほど、より長さが必要となる。一部の実施形態において、長さ422は約2〜約8インチ(約5〜約20cm)である。一部の実施形態において、長さは、第1及び第2RF給電部にRF電流を流すことによって形成される磁場が、実質的に、第1及び第2RFコイル109、111にRF電流を流すことによって形成される電場の対称性に影響を及ぼさないようなものである。
一部の実施形態において、また図4Bに示されるように、環状ディスク424が、第2RF給電部404にその第2端部414に近接して連結される。ディスク424は、第2RF給電部404の周囲に同軸に配置される。導電性要素420又はその他の適切なコネクタを使用して、ディスク424を整合回路(又は電力分割器、位相コントローラ)の出力に連結する。ディスク424を第2RF給電部404と同じ類の材料から作製してもよく、第2RF給電部404と同じ又は異なる材料であってもよい。ディスク424を、(図示のように)第2RF給電部404と一体化させても、第2RF給電部404に適切な手段で連結してもよく、この適切な手段はディスク424と第2RF給電部404との間でロバストな電気的接続を確立し、第2RF給電部404を中心としたディスクのリップ又は延長部のボルト締め、溶接、プレスばめ等を含むが、これらに限定されない。ディスク424は、有利には、整合回路119(又は電力分割器、位相コントローラ)からのオフセット出力による磁場の非対称性を軽減する又は排除する静電シールドを構成する。従って、ディスク424をRF電力の結合に利用する場合、第2RF給電部204の長さ422は、導電性要素420を直接、第2RF給電部404に連結する場合より短くなる。このような実施形態において、長さ422は約1〜約6インチ(約2〜約15cm)である。
図5A〜Bは、本発明の一部の実施形態による誘導結合プラズマ装置102の概略トップダウン図である。上述したように、第1及び第2コイル109、111が単一の連続コイルである必要はなく、それぞれがインターライン型の対称的に配置された複数の(例えば、2つ以上)積層コイル要素であってもよい。更に、第2RFコイル111は、第1RFコイル111に対して同軸に配置することができる。一部の実施形態において、第2RFコイル111は、図5A〜Bに示されるように、第1RFコイル111を中心に同軸に配置される。
一部の実施形態において、また図5Aに示されるように、第1コイル109は2つのインターライン型の対称的に配置された積層第1コイル要素502A、502Bを含み、第2コイル111は、4つのインターライン型の対称的に配置された積層第2コイル要素508A、508B、508C、508Dを含む。第1コイル要素502A、502Bは更に、そこから内方向に延びて第1RF給電部402に連結される脚部504A、504Bを含む。脚部504A、504Bは実質的に上記の脚部410と同等である。脚部504A、504Bは、第1RF給電部402を中心に対称的に配置される(例えば、対向する)。典型的には、RF電流は、第1RF給電部402から脚部502A、502Bを通って第1コイル要素504A、504Bに流れ込み、最終的には、第1コイル要素502A、502Bの端子端部にそれぞれ連結された接地ポスト506A、506Bに流れ込む。対称性(例えば、第1及び第2コイル109、111における電場の対称性)を維持するために、接地ポスト506A、506Bを、脚部502A、502Bと実質的に同様の対称的な方向でもって第1RF給電構造402周囲に配置することができる。例えば、図5Aに示されるように、接地ポスト506A、506Bは脚部502A、502Bと直列に配置される。
第1コイル要素と同様に、第2コイル要素508A、508B、508C、508Dは更に、そこから延びて第2RF給電部204に連結される脚部510A、510B、510C、510Dを含むことができる。脚部510A、510B、510C、510Dは実質的に上述の脚部418と同等である。脚部510A、510B、510C、510Dは、第2RF給電部404を中心として対称的に配置される。典型的には、RF電流は、第2RF給電部404から脚部510A、510B、510C、510Dを通って第2コイル要素508A、508B、508C、508Dにそれぞれ流れ込み、最終的には、第2コイル要素508A、508B、508C、508Dの端子端部にそれぞれ連結された接地ポスト512A、512B、512C、512Dに流れ込む。対称性(例えば、第1及び第2コイル109、111における電場の対称性)を維持するために、接地ポスト512A、512B、512C、512Dを、脚部510A、510B、510C、510Dと実質的に同様の対称的な方向でもって第1RF給電構造402周囲に配置することができる。例えば、図5Aに示されるように、接地ポスト512A、512B、512C、512Dは脚部510A、510B、510C、510Dとそれぞれ直列に配置される。
一部の実施形態において、また図5Aに示されるように、第1コイル109の脚部/接地ポストは、第2コイル111の脚部/接地ポストに対してある角度でもって方向付けされる。しかしながら、これは単なる例であって、どのような対称的な方向も考えられ、例えば、第1コイル109の脚部/接地ポストを、第2コイル111の脚部/接地ポストと直列に配置する。
一部の実施形態において、また図5Bに示されるように、第1コイル109は、4つのインターライン型の対称的に配置された積層第1コイル要素502A、502B、502C、502Dを含む。第1コイル要素502A、502Bと同様に、追加の第1コイル要素502C、502Dは更に、そこから延びて第1RF給電部402に連結される脚部504C、504Dを含むことができる。脚部504C、504Dは実質的に上述の脚部410と同等である。脚部504A、504B、504C、504Dは、第1RF給電部402を中心として対称的に配置される。第1コイル要素502A、502Bと同様に、第1コイル要素502C、502Dは、脚部504C、504Dと直列に配置された接地ポスト506C、506Dで終端する。対称性(例えば、第1及び第2コイル109、111における電場の対称性)を維持するために、接地ポスト506A、506B、506C、506Dを、脚部502A、502B、502C、502Dと実質的に同様の対称的な方向でもって第1RF給電構造402周囲に配置することができる。例えば、図5Bに示されるように、接地ポスト506A、506B、506C、506Dは、脚部502A、502B、502C、502Dとそれぞれ直列に配置される。第2コイル要素508A、508B、508C、508D及びその全ての部品(例えば、脚部/接地ポスト)は、図5A及び上述のように図5Bにおいても同じである。
一部の実施形態において、また図5Bに示されるように、第1コイル109の脚部/接地ポストは、第2コイル111の脚部/接地ポストに対してある角度で方向付けされる。しかしながら、これは単なる例であって、どのような対称的な方向も考えられ、例えば、第1コイル109の脚部/接地ポストを、第2コイル111の脚部/接地ポストと直列に配置する。
上記では各コイルにおいて2つ又は4つの積層要素の例を使用したが、第1及び第2コイル109、111の一方又は両方に関して、コイル要素の数はいずれであってもよく、例えば3つ、6つであり、或いは第1及び第2RF給電部402、404を中心とした対称性を維持するいずれの適切な数及び配置である。例えば、コイルの3つのコイル要素は、隣接するコイル要素に対してそれぞれ120°回転させられる。
図5A〜Bに図示の第1及び第2コイル109、111の実施形態は、上述したような第1コイルと第2コイルとの間の位相を変化させるためのいずれの実施形態でも利用可能である。加えて、各第1コイル要素502を各第2コイル要素508とは反対方向に巻回することが可能であり、これによって第1コイル要素を流れるRF電流は第2コイル要素を流れるRF電流とは異相となる。位相コントローラを使用する場合、第1及び第2コイル要素502、508は、同一方向にも反対方向にも巻回可能である。
図6は、本発明の一部の実施形態による、上記のリアクタ100と同様のデュアルモード誘導結合リアクタにおけるプラズマの形成方法600を示す。本方法は一般に、処理ガスを処理チャンバ110に供給する602から始まる。処理ガスをガスパネル138からエントリポート126を介して供給し、チャンバ110内でガス混合物150を生成する。チャンバ部品(壁部130、誘電性蓋部120、支持台座部116等)を、処理ガスの供給前又は供給後に、望ましい温度にまで加熱する。誘電性蓋部120を、電源123からヒータ要素121に給電することによって加熱する。供給する電力を制御することによって、処理中、処理チャンバ110を望ましい温度に維持する。
次に604で、RF電源118からのRF電力を、複数の誘導コイル及び、任意で、1つ以上の電極に供給することによって、処理ガス混合物150にそれぞれ誘導的及び、任意で、容量的に結合する。RF電力は例えば最高4000W、50kHz〜13.56MHzの可変周波数で供給されるが、その他の電力及び周波数を利用してプラズマを形成してもよい。一部の実施形態において、RF電力は複数の誘導コイルと1つ以上の電極の両方に同時に供給され、1つ以上の電極は誘導コイルに電気的に結合される。
一部の実施形態においては、第1量のRF電力を、406で示すように、複数の誘導コイルを介して処理ガスに誘導結合する。一部の実施形態においては、第2量のRF電力を処理ガスへと、複数の誘導コイルの1つに連結された1つ以上の電極を介して容量結合する。処理ガスに容量結合される第2量のRF電力は、例えば、各電極(例えば、電極112、112)と誘電性蓋部120との距離を伸ば(して容量結合を低下させる)すことによって又は距離を縮め(て容量結合を増大させる)ることによって、制御することができる。上述したように、1つ以上の電極の位置を独立して制御することによって、電極を誘電性蓋部から等間隔又は不等間隔で離間させることができる。各電極とヒーター要素121との距離を制御することによって、その間でのアーク放電を防止することもできる。
処理ガスに容量結合される第2量のRF電力もまた、例えば、電極面(例えば、電極112、112の底面)と誘電性蓋部120との傾き又は角度を制御することによって制御することができる。1つ以上の電極(例えば、電極112、112)の面の方向を制御することによって、処理チャンバ110の特定の領域において処理ガス混合物150に容量結合される第2量のRF電力の調節を円滑に行なうことができる(例えば、電極面を傾けるにつれ、1つ以上の電極の一部はその他の部位より誘電性蓋部120に近くなる)。
610で、プラズマ155を、処理ガス混合物150から、誘導コイル109、111及び任意の電極112、112によってそれぞれ供給される第1及び任意の第2量のRF電力を使用して形成する。
612で、複数のコイルに印加されるRF電流の相対位相を調節して処理を最適化する。例えば、位相を同相又は異相(180°のシフト)に選択することによって、特定の処理に関して、基板全体でのエッチング速度の均一性を改善する。複数のコイルに印加されるRF電流の相対位相を、(例えば、特定の処理の実行を見越して)RF電流を複数のコイルに印加する前に調節(又は選択及び設定)することができる。加えて、複数のコイルに印加するRF電流の相対位相を必要に応じて処理中(例えば、プロセスレシピの工程中、処理工程と処理工程との間その他)に変化させることができる。
プラズマを点弧し、プラズマが安定したら、方法600は、所望の通りにプラズマ処理を継続する。例えば、処理は、少なくとも部分的に、標準的なプロセスレシピに従ったRF電力設定及びその他の処理パラメータを使用して継続する。或いは又はそれに加えて、1つ以上の電極を誘電性蓋部120から更に離すことによって、処理中に、処理チャンバ110内へのRF電力の容量結合を低下させる。或いは又はそれに加えて、1つ以上の電極を誘電性蓋部120に近づける又はある角度に傾けることによって、処理チャンバ110へのRF電力の容量結合を増大させる又は処理チャンバ110の領域に容量結合されるRF電力の相対量を制御する。加えて、コイル電流の位相を制御することによって、処理最適化を更に制御することができる。
図7は、典型的なエッチング速度プロファイルのグラフ700と、180°異相のコイル電流を使用して得られたエッチング速度プロファイルのグラフ702とを比較した図である。グラフ700のエッチング速度プロファイルはM形状を有し、一方、グラフ702のプロファイルは、電流位相の変化に反応して、より平坦なプロファイルを有することに留意すべきである。より具体的には、プロファイルグラフ700は複数のプロファイルを含み、それぞれがコイル間の特定の電流比でのウェハ全体でのエッチング速度を表し、これらの電流は同相である。ウェハの縁部付近及び中央部でエッチング速度が遅い、様々な電流比でのはっきりとしたM形状プロファイルに留意すべきである。対照的に、プロファイルグラフ702は、各コイルへの電流が異相(例えば、負の電流比)の場合の様々な電流比で生じる複数のプロファイルを示す。プロファイルがすでにM形状ではなく、電流比の調節によって実質的に様々なプロファイルが得られることに留意すべきである。このため、処理中に位相及び電流の両方を制御することによって、処理の制御を実質的に改善可能である。
従って、デュアルモード誘導結合プラズマリアクタ及び使用方法を本願において提供した。本発明のデュアルモード誘導結合プラズマリアクタは、有利には、コイル電流の位相を選択的に変化させることによって、エッチング速度の均一性を改善することができる。本発明のデュアルモード統合プラズマリアクタは更に、有利には、処理中に均一性及び/又は密度等のプラズマ特性を制御及び/又は調節することができる。
上記は本発明の実施形態についてのものであるが、本発明のその他及び更なる実施形態も本発明の基本的な範囲から逸脱することなく創作することができる。

Claims (12)

  1. デュアルモード誘導結合プラズマ処理システムであって、
    誘電性蓋部を有する処理チャンバと、
    誘電性蓋部の上に配置されたプラズマソースアセンブリとを含み、
    プラズマソースアセンブリが、
    RFエネルギーを処理チャンバ内に誘導結合することによって処理チャンバ内でプラズマを形成し及び維持するように構成された複数のコイルであって、複数のコイルは、1つ以上のコネクタを介して電気的に直列に結合された外方コイルと内方コイルを更に含み、1つ以上のコネクタは、内方コイルと外方コイルの間に配置され、処理チャンバのプラズマ点孤能を強化する1つ以上の容量性RF電極として機能する複数のコイルと、
    複数のコイルに連結された、その各コイルに印加されるRF電流の相対位相を制御するための位相コントローラと、
    位相コントローラに連結されたRF発生器とを含み、
    デュアルモードは、外方コイルと内方コイルのRF電流が同相である標準モードと、外方コイルと内方コイルを流れるRF電流の位相を制御する位相制御モードを含み、
    デュアルモード誘導結合プラズマ処理システムは、外方コイルと内方コイルのRF電流を同相から異相に切り替えることができるシステム。
  2. 1つ以上の容量性RF電極が更に、
    等距離で離間され且つ内方コイルと外方コイルとの間に配置された2つの電極を含み、各電極が外方コイル及び内方コイルに電気的に結合される請求項記載のシステム。
  3. 位相コントローラが更に、
    固定コンデンサ及び可変コンデンサを有する容量分割器を含む請求項1記載のシステム。
  4. 複数のコイルが第1方向に巻回された内方コイルと、第2方向に巻回された外方コイルを含み、第1及び第2方向が逆方向である請求項記載のシステム。
  5. 誘電性蓋部とプラズマソースアセンブリの1つ以上の電極との間に配置されたヒータ要素を更に含む請求項1記載のシステム。
  6. 位相コントローラが選択的に同相のRF電流及び180°異相のRF電流を複数のコイルに供給する請求項1記載のシステム。
  7. 位相コントローラが更に、
    RF発生器と複数のコイルとの間に配置された電力分割器とを含む請求項1記載のシステム。
  8. デュアルモード誘導結合プラズマ処理システムであって、
    誘電性蓋部を有する処理チャンバと、
    誘電性蓋部に近接して位置決めされた環状ヒータと、
    誘電性蓋部の上に配置されたプラズマソースアセンブリとを含み、
    プラズマソースアセンブリが、
    第1方向に巻回された第1コイル及び第2方向に巻回された第2コイルを含み、第1及び第2コイルが、RFエネルギーを処理チャンバ内に誘導結合することによって処理チャンバ内でプラズマを形成し及び維持するように構成され、
    プラズマソースアセンブリが更に、
    第1及び第2コイルに連結された、各コイルに印加されるRF電流の相対位相を制御するための位相コントローラと、
    RFエネルギーを処理チャンバ内に容量結合することによって処理チャンバ内でプラズマを形成するように構成された、1つ以上のコイルの1つに電気的に結合される1つ以上の電極であって、第1コイル及び第2コイルは、1以上の電極を介して電気的に直列に結合され、1つ以上の電極は、第1コイルと第2コイルの間に配置され、処理チャンバのプラズマ点孤能を強化する容量性RF電極として機能する1つ以上の電極と、
    位相コントローラに及び中央給電部を介して各コイルに連結されたRF発生器とを含み、
    デュアルモードは、第1コイルと第2コイルのRF電流が同相である標準モードと、第1コイルと第2コイルを流れるRF電流の位相を制御する位相制御モードを含み、
    デュアルモード誘導結合プラズマ処理システムは、第1コイルと第2コイルのRF電流を同相から異相に切り替えることができるシステム。
  9. 第1方向及び第2方向が逆方向である請求項記載のシステム。
  10. 第1コイル及び第2コイルが、第1コイルと第2コイルとの間に連結されたアースへと続くブロックコンデンサに直列に連結される請求項記載のシステム。
  11. 1つ以上の電極が、第1コイル及び第2コイルを連結するコネクタによって形成される請求項10記載のシステム。
  12. RF発生器と第1及び第2コイルとの間に連結された整合回路を含み、整合回路が分割コンデンサを有し、分割コンデンサとブロックコンデンサとが一緒になって位相コントローラを構成し、位相コントローラが、第1コイル及び第2コイルを流れるRF電流の相対位相に加えて電流比を制御する請求項10記載のシステム。
JP2010239093A 2009-10-26 2010-10-25 位相調節可能なコイルアセンブリを備えたデュアルモード誘導結合プラズマ処理システム Active JP5829396B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25483709P 2009-10-26 2009-10-26
US61/254,837 2009-10-26
US12/821,636 2010-06-23
US12/821,636 US20110097901A1 (en) 2009-10-26 2010-06-23 Dual mode inductively coupled plasma reactor with adjustable phase coil assembly

Publications (2)

Publication Number Publication Date
JP2011091048A JP2011091048A (ja) 2011-05-06
JP5829396B2 true JP5829396B2 (ja) 2015-12-09

Family

ID=43898805

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010239093A Active JP5829396B2 (ja) 2009-10-26 2010-10-25 位相調節可能なコイルアセンブリを備えたデュアルモード誘導結合プラズマ処理システム

Country Status (4)

Country Link
US (1) US20110097901A1 (ja)
JP (1) JP5829396B2 (ja)
CN (1) CN102054648B (ja)
TW (1) TWI503884B (ja)

Families Citing this family (404)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110094994A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Inductively coupled plasma apparatus
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9117767B2 (en) * 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
US8492980B2 (en) * 2010-10-28 2013-07-23 Applied Materials, Inc. Methods for calibrating RF power applied to a plurality of RF coils in a plasma processing system
US8980760B2 (en) 2011-04-29 2015-03-17 Applied Materials, Inc. Methods and apparatus for controlling plasma in a process chamber
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8974684B2 (en) 2011-10-28 2015-03-10 Applied Materials, Inc. Synchronous embedded radio frequency pulsing for plasma etching
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US10271416B2 (en) * 2011-10-28 2019-04-23 Applied Materials, Inc. High efficiency triple-coil inductively coupled plasma source with phase control
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9502216B2 (en) * 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9368329B2 (en) * 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
CN103327723A (zh) * 2012-03-23 2013-09-25 中微半导体设备(上海)有限公司 一种电容耦合等离子反应器及其控制方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
TW201405627A (zh) * 2012-07-20 2014-02-01 Applied Materials Inc 具有同軸rf饋送及同軸遮罩之對稱的感應性耦合電漿源
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US10170278B2 (en) 2013-01-11 2019-01-01 Applied Materials, Inc. Inductively coupled plasma source
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9257265B2 (en) 2013-03-15 2016-02-09 Applied Materials, Inc. Methods for reducing etch nonuniformity in the presence of a weak magnetic field in an inductively coupled plasma reactor
JP6702640B2 (ja) * 2013-06-17 2020-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマリアクタ用の強化されたプラズマ源
US8937021B2 (en) * 2013-06-20 2015-01-20 Applied Materials, Inc. Methods for forming three dimensional NAND structures atop a substrate
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9533909B2 (en) * 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US10249475B2 (en) 2014-04-01 2019-04-02 Applied Materials, Inc. Cooling mechanism utlized in a plasma reactor with enhanced temperature regulation
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR101522891B1 (ko) * 2014-04-29 2015-05-27 세메스 주식회사 플라즈마 발생 유닛 및 그를 포함하는 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP2016046391A (ja) * 2014-08-22 2016-04-04 株式会社アルバック プラズマエッチング装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10032604B2 (en) 2015-09-25 2018-07-24 Applied Materials, Inc. Remote plasma and electron beam generation system for a plasma reactor
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN106686875B (zh) * 2015-11-06 2019-05-17 中微半导体设备(上海)股份有限公司 一种电感耦合等离子处理装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106937472A (zh) * 2015-12-29 2017-07-07 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体处理方法
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10971333B2 (en) * 2016-10-24 2021-04-06 Samsung Electronics Co., Ltd. Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10242845B2 (en) * 2017-01-17 2019-03-26 Lam Research Corporation Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10879044B2 (en) * 2017-04-07 2020-12-29 Lam Research Corporation Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
CN111048386B (zh) * 2018-10-12 2022-07-12 汉民科技股份有限公司 射频线圈可调式的等离子体反应腔体结构
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
TWI714366B (zh) * 2019-11-26 2020-12-21 聚昌科技股份有限公司 線圈垂直位置可動態調整之蝕刻機結構
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3140934B2 (ja) * 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JP2929275B2 (ja) * 1996-10-16 1999-08-03 株式会社アドテック 透磁コアを有する誘導結合型−平面状プラズマの発生装置
US6447636B1 (en) * 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
US20030160024A1 (en) * 2002-02-27 2003-08-28 Tadayashi Kawaguchi Plasma processing method and apparatus
JP2005150606A (ja) * 2003-11-19 2005-06-09 Hitachi High-Technologies Corp プラズマ処理装置
JP2006318725A (ja) * 2005-05-12 2006-11-24 Mitsubishi Heavy Ind Ltd 誘導結合型プラズマ生成装置及びプラズマ生成方法
TW200746928A (en) * 2005-09-28 2007-12-16 Matsushita Electric Ind Co Ltd Apparatus and method for generating atmospheric-pressure plasma
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR20150017389A (ko) * 2008-05-14 2015-02-16 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US8299391B2 (en) * 2008-07-30 2012-10-30 Applied Materials, Inc. Field enhanced inductively coupled plasma (Fe-ICP) reactor
JP5399151B2 (ja) * 2008-10-27 2014-01-29 東京エレクトロン株式会社 誘導結合プラズマ処理装置、プラズマ処理方法及び記憶媒体

Also Published As

Publication number Publication date
CN102054648A (zh) 2011-05-11
TW201130031A (en) 2011-09-01
CN102054648B (zh) 2015-03-25
TWI503884B (zh) 2015-10-11
JP2011091048A (ja) 2011-05-06
US20110097901A1 (en) 2011-04-28

Similar Documents

Publication Publication Date Title
JP5829396B2 (ja) 位相調節可能なコイルアセンブリを備えたデュアルモード誘導結合プラズマ処理システム
JP5907652B2 (ja) プラズマ処理装置
US10770328B2 (en) Substrate support with symmetrical feed structure
US8299391B2 (en) Field enhanced inductively coupled plasma (Fe-ICP) reactor
US8933628B2 (en) Inductively coupled plasma source with phase control
US10271416B2 (en) High efficiency triple-coil inductively coupled plasma source with phase control
KR101455245B1 (ko) 플라즈마 처리를 위한 rf 피드 구조물
KR20110046256A (ko) 조정가능한 위상 코일 어셈블리를 갖는 듀얼 모드 유도 결합 플라즈마 반응기
US9839109B1 (en) Dynamic control band for RF plasma current ratio control
US20180047542A1 (en) Inductively coupled plasma chamber having a multi-zone showerhead
US20140102641A1 (en) Field enhanced inductively coupled plasma processing apparatus and plasma forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131024

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20141017

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141021

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150119

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150318

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150417

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150924

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151022

R150 Certificate of patent or registration of utility model

Ref document number: 5829396

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250