JP5399374B2 - 接着プライマー層を利用するインプリント・リソグラフィーのための方法 - Google Patents

接着プライマー層を利用するインプリント・リソグラフィーのための方法 Download PDF

Info

Publication number
JP5399374B2
JP5399374B2 JP2010503115A JP2010503115A JP5399374B2 JP 5399374 B2 JP5399374 B2 JP 5399374B2 JP 2010503115 A JP2010503115 A JP 2010503115A JP 2010503115 A JP2010503115 A JP 2010503115A JP 5399374 B2 JP5399374 B2 JP 5399374B2
Authority
JP
Japan
Prior art keywords
substrate
component
primer layer
group
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010503115A
Other languages
English (en)
Other versions
JP2010526426A (ja
Inventor
シュ,フランク・ワイ
スリニーヴァッサン,シトルガタ・ヴイ
フレッチャー,エドワード・ビイ
Original Assignee
モレキュラー・インプリンツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by モレキュラー・インプリンツ・インコーポレーテッド filed Critical モレキュラー・インプリンツ・インコーポレーテッド
Publication of JP2010526426A publication Critical patent/JP2010526426A/ja
Application granted granted Critical
Publication of JP5399374B2 publication Critical patent/JP5399374B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L59/00Compositions of polyacetals; Compositions of derivatives of polyacetals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/36Successively applying liquids or other fluent materials, e.g. without intermediate treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D7/00Processes, other than flocking, specially adapted for applying liquids or other fluent materials to particular surfaces or for applying particular liquids or other fluent materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31652Of asbestos
    • Y10T428/31663As siloxane, silicone or silane
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • Y10T428/31692Next to addition polymer from unsaturated monomers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Laminated Bodies (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Manufacturing Of Magnetic Record Carriers (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Description

本発明は、インプリント・リソグラフィー法のための接着プライマー層を塗布する方法を提供する。この方法により、パターニングした磁気媒体を含めた両面インプリント用途のための接着プライマーコーティングが可能となる。
ナノファブリケーションは、例えばナノメートル以下程度のフィーチャを有する非常に小さな構造物の製造を含む。ナノファブリケーションがかなりの影響を及ぼしている1つの分野は集積回路の加工におけるものである。半導体加工産業が、基板上に形成される単位面積当たりの回路を増やしながら、より高い生産歩留まりを求めて引続き努力しているので、ナノファブリケーションは益々重要になっている。ナノファブリケーションは、より高度なプロセス制御を実現し、一方、形成される構造物の最小フィーチャ寸法のさらなる低減を可能にする。ナノファブリケーションが利用されている他の開発分野としては、バイオ・テクノロジー、光学技術、機械システムなどが挙げられる。
典型的なナノファブリケーション技法は、一般的にインプリント・リソグラフィーと呼ばれる。典型的なインプリント・リソグラフィー法は多数の出版物、例えば「Method and a Mold to Arrange Features on a Substrate to Replicate Features having Minimal Dimensional Variability」という名称のSreenivasanらに対する米国特許出願公開第20040065976号、「Method of Forming a Layer on a Substrate to Facilitate Fabrication of Metrology Standards」という名称のSreenivasanらに対する米国特許出願公開第20040065252号、「Functional Patterning Material for Imprint Lithography Processes」という名称の米国特許第6936194号などに詳細に記載されており、これらの全ては、これらの全てが参照により本明細書に組み込まれる。
前述の米国特許出願公開および米国特許のそれぞれに開示されている基本的なインプリント・リソグラフィー技術は、重合可能な層内にレリーフ・パターンを形成するステップ、およびそのレリーフ・パターンに対応するパターンを下にある基板内に転写するステップを含む。基板は、基板のパターニングを容易にする望ましい位置を得るために、可動ステージ上に位置付けることができる。テンプレートが、基板から間隔を空けて、そのテンプレートと基板との間に存在する成形可能な液体と共に使用される。その液体が固化されて、液体と接触しているテンプレートの面の形状に従ってそこに記録されたパターンを有する固化層を形成する。そのテンプレートは、次いで、テンプレートと基板とが間隙を置くようにその固化層から分離される。その基板と固化層は、次に、固化層内のパターンに対応するレリーフ像を基板内に転写するプロセスにかけられる。
図1を参照すると、インプリント・リソグラフィーの背後の基本概念は、とりわけ、レリーフ・パターンに対応するパターンを基板内に形成することができるようにエッチング・マスクとして機能することができるレリーフ・パターンを基板上に形成することである。そのレリーフ・パターンを形成するために使用されるシステム10は、基板12を担持しているステージ11、および上にパターニング表面18を備えたモールド16を有するテンプレート14を含む。パターニング表面18は、実質的に滑らかおよび/または平面的であるか、あるいは1つまたは複数のリセスがその中に形成されるようにパターニングされていてもよい。テンプレート14は、インプリント・ヘッド20と連結してテンプレート14の動きを援助する。流体ディスペンス・システム22は、重合性材料24を基板12上に付着させるように基板12と流体連通して選択的に配置されるように連結されている。エネルギー28の発生源26は、進路30に沿ってエネルギー28を導くように連結されている。インプリント・ヘッド20およびステージ11は、モールド16および基板12をそれぞれ重ね合わせ、進路30中に配置されるように設定して準備する。インプリント・ヘッド20、ステージ11のいずれか、またはその両方は、モールド16と基板12の間の間隔が、重合性材料24によって満たされるその間の望ましい空間を決めるために変動する。
一般的には、重合性材料24は、モールド16と基板12の間の望ましい空間が決まる前に基板12上に配置される。しかしながら、重合性材料24は、その望ましい空間が得られた後にその空間を満たしてもよい。その望ましい空間が重合性材料24により満たされた後、発生源26がエネルギー28を生じさせ、それが重合性材料24の固化および/または架橋を引き起こし、その基板表面25とモールド表面18の形状と一致するポリマー材料を形成する。このプロセスの制御は、メモリー34に保存されているコンピュータ可読のプログラムに基づいて操作する、ステージ11、インプリント・ヘッド20、流体ディスペンス・システム22、および発生源26を備えたデータ通信におけるプロセッサ32によって調節する。
パターンを重合性材料に正確に変換することと関連する1つの判定基準は、基板に対する適切な接着性を確保しながら固化した層のテンプレートへの接着を防止できないまでも減少することである。これを、選択的剥離および接着特性と呼ぶ。選択的剥離および接着によって固化層に記録されたパターンは、テンプレートの分離の間に変形しない。
固化層の基板への接着性を改良する方法は、接着プライマー層(またはプライマー層)を導入することである。その接着プライマー層は、固化層および基板の両方に十分に接着する必要がある。現在、その接着プライマー層は、スピン・コーティング法によって付着させている。片面をコーティングした後、そのウエーハを反転させ、そのコーティングした面がスピン・コーティング装置の一部に物理的に接触して、もう1つの面をコーティングする。そのスピン・コーティング装置とコーティングした表面の間の物理的接触は、接着プライマー層の微粒子汚染をもたらす可能性がある。加えて、接着プライマー層を塗布するためにスピン・コーティング法を利用すると、プロセスの全体の処理能力が制限され得る。
米国特許出願公開第20040065976号 米国特許出願公開第20040065252号 米国特許第6936194号 米国特許出願公開第20060111454号
本発明は、接着プライマー層を利用するインプリント・リソグラフィー法のための方法に関する。概して、一態様において、本発明は、(a)コーティング工程において、流体を基板の表面と接触させるステップ(ただし、流体の成分は、該成分の第1の末端に第1の官能基および該成分の第2の末端に第2の官能基を有する)と、(b)接着プライマー層を基板の表面に接着させるように、該成分の第1の末端と基板の表面との間で第1の共有結合を形成する第1の化学反応を開始させるステップと、(c)インプリント・リソグラフィー法によって、ポリマー層を基板の表面に接着させるステップとを含む方法を特徴とする。接着させるステップは、(i)基板の表面の接着プライマー層上に重合性材料を付着させるステップと、(ii)重合性材料の重合を開始させてポリマー層を形成するステップと、(iii)接着プライマー層中の該成分の第2の官能基と重合性材料との間の第2の化学反応を開始して、ポリマー層を基板の表面に接着させるステップとを含む。
本発明の実施には、次の特徴の1つまたは複数を含むことができる。いくつかの実施形態は、コーティング工程において、流体を基板の表面および基板の第2の表面と実質的に同時に接触させるステップと、接着プライマー層を基板の表面に、および第2の接着プライマー層を基板の第2の表面に実質的に同時に接着させるステップとを含む。いくつかの実施形態は、インプリント・リソグラフィー法によって、第2のポリマー層を基板の第2の表面に接着させるステップをさらに含むことができ、接着させるステップは、(a)第2の重合性材料を基板の第2の表面上の第2の接着プライマー層上に付着させるステップと、(b)第2の重合性材料の重合を開始して第2のポリマー層を形成させるステップと、(c)第2の接着プライマー層中の該成分の第2の官能基と第2の重合性材料との間の第2の化学反応を開始して第2のポリマー層を基板の第2の表面に接着させるステップとを含む。基板の表面と基板の第2の表面は、実質的に平行であり得る。
該成分は、該成分の第1の末端に第1の官能基を含むことができる。第1の官能基は、脱離基であり得る。いくつかの実施形態において、流体は、蒸気であり、コーティング工程は、化学蒸着法である。蒸気は液体から形成することができる。いくつかの実施形態において、流体は、液体であり、コーティング工程は、浸漬コーティング法である。流体は、さらなる成分を含むことができ、方法は、該成分をさらなる成分と共重合するステップを含むことができる。いくつかの実施形態において、第1の化学反応を開始するステップは、加熱することを含む。第2の化学反応を開始するステップは、重合性材料を紫外線にさらすことを含むことができる。
いくつかの実施形態において、基板は、シリコンを含む。基板は、シリコン、酸化シリコン・窒化シリコン、タンタル、アルミニウム、石英、および溶融石英からなる群から選択することができる。基板は、磁気媒体を含むことができる。
別の態様において、本発明は、基板を多官能成分と接触させるステップと、多官能成分の第1の末端の四価の原子を基板に共有結合させて接着プライマー層を形成するステップと、多官能成分の第2の末端をインプリント・リソグラフィー工程の間に重合性材料に共有結合させるステップとを含む方法を取り上げる。多官能成分は、第1の末端、第2の末端、および第1の末端と第2の末端の間にリンカー基を含む。第1の末端は、四価の原子を含み、リンカー基は、最大で3個までの炭素原子を有する炭化水素基である。
本発明の実施には、1つまたは複数の次の主要点が挙げられる。多官能成分は、最高で350℃である沸点および/または最高で約100cPである粘度を有することができる。リンカー基は−CH2−であり得る。四価の原子は、シリコンであり得る。
多官能成分は、アクリルオキシメチルトリメトキシシラン、アクリルオキシメチルトリエトキシシラン、アクリルオキシプロピルトリクロロシラン、および/またはアクリルオキシプロピル−トリメトキシシランであり得る。いくつかの実施形態において、基板は、1つまたは複数のさらなる成分と接触させることができる。さらなる成分は、1,2−ビス(トリメトキシ−シリル)エタンおよび/または1,6−ビス(トリクロロシリル)ヘキサンであり得る。基板は、シリコンを含むことができる。いくつかの実施形態において、基板は、シリコン、酸化シリコン・窒化シリコン、タンタル、アルミニウム、石英、および溶融石英からなる群から選択される。
さらなる実施形態において、特定の実施形態による主要点は、その他の実施形態による主要点と組み合わせることができる。例えば、1つの実施形態による主要点は、任意のその他の実施形態による主要点と組み合わせることができる。さらなる実施形態において、追加の主要点は本明細書に記載の特定の実施形態に追加することができる。
本願は以下の発明を包含する:
(発明1)(a)コーティング工程において、流体を基板の表面と接触させるステップ(ただし、該流体の成分は、該成分の第1の末端に第1の官能基および該成分の第2の末端に第2の官能基を有する)と、
(b)接着プライマー層が該基板の表面に接着するように、該成分の第1の末端と該基板の表面との間で第1の共有結合を形成する第1の化学反応を開始させるステップと、
(c)インプリント・リソグラフィー法によって、ポリマー層を該基板の表面に接着させるステップと
を含む方法であって、前記接着させるステップが
(i)該基板の表面の該接着プライマー層上に重合性材料を付着させるステップと、
(ii)該重合性材料の重合を開始させてポリマー層を形成するステップと、
(iii)該接着プライマー層中の該成分の該第2の官能基と該重合性材料との間の第2の化学反応を開始して該ポリマー層を該基板の表面に接着させるステップと
を含む方法。
(発明2)該コーティング工程において、該流体を該基板の前記表面および該基板の第2の表面と実質的に同時に接触させるステップと、前記接着プライマー層を該基板の前記表面に、および第2の接着プライマー層を該基板の該第2の表面に実質的に同時に接着させるステップとをさらに含む請求項1に記載の方法。
(発明3)インプリント・リソグラフィー法によって、第2のポリマー層を該基板の第2の表面に接着させるステップをさらに含み、前記接着させるステップが、
(a)第2の重合性材料を該基板の第2の 表面上の該第2の接着プライマー層上に付着させるステップと、
(b)該第2の重合性材料の重合を開始して第2のポリマー層を形成させるステップと、
(c)該第2の接着プライマー層中の該成分の該第2の官能基と該第2の重合性材料との間の第2の化学反応を開始して該第2のポリマー層を該基板の第2の表面に接着させるステップと
を含む請求項2に記載の方法。
(発明4)該流体が蒸気であり、該コーティング工程が化学蒸着法である請求項1に記載の方法。
(発明5)該蒸気を液体から形成させるステップをさらに含む請求項4に記載の方法。
(発明6)該流体が液体であり、該コーティング工程が浸漬コーティング法である請求項1に記載の方法。
(発明7)該流体中にさらなる成分を含み、前記成分を前記第2の成分と共重合させるステップをさらに含む請求項1に記載の方法。
(発明8)該第1の化学反応を開始するステップが、加熱することを含む請求項1に記載の方法。
(発明9)該第2の化学反応を開始するステップが、該重合性材料を紫外線にさらすことを含む請求項1に記載の方法。
(発明10)該基板の第1の表面と該基板の第2の表面が、実質的に平行である請求項2に記載の方法。
(発明11)該基板がシリコンを含む請求項1に記載の方法。
(発明12)該基板を、シリコン、酸化シリコン、窒化シリコン、タンタル、アルミニウム、石英、および溶融石英からなる群から選択する請求項1に記載の方法。
(発明13)該基板が、磁気媒体を含む請求項1に記載の方法。
(発明14)該成分が、該成分の第1の末端に第1の官能基を含み、該第1の官能基が、脱離基である請求項1に記載の方法。
(発明15)(a)基板を多官能成分と接触させるステップ[ただし、
(i)該多官能成分は、第1の末端、第2の末端、および該第1の末端と該第2の末端の間のリンカー基を有しており、
(ii)該第1の末端は、四価の原子を含み、
(iii)該リンカー基は、最大で3個の炭素原子を有する炭化水素基である]と、
(b)該多官能成分の第1の末端の四価の原子を該基板に共有結合させて接着プライマー層を形成するステップと、
(c)インプリント・リソグラフィー工程の間に、該多官能成分の第2の末端を重合性材料に共有結合させるステップと
を含む方法。
(発明16)前記多官能成分が、最高で約350℃である沸点を有する請求項15に記載の方法。
(発明17)前記多官能成分が、最高で約100cPである粘度を有する請求項15に記載の方法。
(発明18)前記リンカー基が−CH 2 −である請求項15に記載の方法。
(発明19)該四価の原子が、シリコンである請求項15に記載の方法。
(発明20)前記多官能成分が、アクリルオキシメチルトリメトキシシランである請求項15に記載の方法。
(発明21)前記多官能成分が、アクリルオキシメチルトリエトキシシランである請求項15に記載の方法。
(発明22)前記多官能成分が、アクリルオキシプロピルトリクロロシランである請求項15に記載の方法。
(発明23)前記多官能成分が、アクリルオキシプロピルトリメトキシシランである請求項15に記載の方法。
(発明24)前記基板を1種または複数のさらなる成分と接触させるステップをさらに含む請求項15に記載の方法。
(発明25)前記さらなる成分の1種が、1,2−ビス(トリメトキシシリル)エタンである請求項24に記載の方法。
(発明26)前記さらなる成分の1種が、1,6−ビス(トリクロロシリル)ヘキサンである請求項24に記載の方法。
(発明27)該基板が、シリコンを含む請求項15に記載の方法。
(発明28)該基板を、シリコン、酸化シリコン、窒化シリコン、タンタル、アルミニウム、石英、および溶融石英からなる群から選択する請求項15に記載の方法。
従来技術によるリソグラフィー・システムの簡略化した平面図である。 本発明によるテンプレートおよび基板上に付着させたインプリンティング材料の簡略化した立面図である。 インプリンティング材料がパターニングされ、層上に固化されたものとして示されている図2に示したテンプレートと基板の簡略化した立面図である。 固化したインプリンティング材料とテンプレートの間の弱い境界ラメラの形成を示しているインプリンティング材料と接しているテンプレートの断面図である。 液滴の界面活性剤に富む領域と界面活性剤の減損した領域への分岐を示している図2に示したインプリント材料の液滴の詳細図である。 界面活性剤に富む領域と界面活性剤の枯渇した領域への層の分岐を示しているスピンオン式技術を用いて付着させたインプリンティング材料の層の詳細図である。 図5または6のいずれかに示したように配置し、プライマー層を含む基板上に形成した固化したインプリンティング材料と接しているテンプレートの断面図である。 接着プライマー層を形成するために使用することができる成分の化学構造を示す平面図である。 接着プライマー層を形成するために使用することができる別の成分の化学構造を示す平面図である。 接着プライマー層を形成するために使用することができる別の成分の化学構造を示す平面図である。 接着プライマー層を形成するために使用することができる別の成分の化学構造を示す平面図である。 接着プライマー層を形成するために使用することができる別の成分の化学構造を示す平面図である。
図1および2を参照すると、本発明によれば、モールド36は、システム10において使用することができ、実質的に滑らかまたは平面的である外形(図示せず)を有する表面の輪郭を示すことができる。別法では、モールド36は、複数の相隔たる凹部38および凸部40によって画定される形体を含むことができる。その複数の形体は、基板42上に形成されるパターンの基礎を形成する元のパターンの輪郭を示す。基板42は、裸のウエーハまたはその上に配置されている1つまたは複数の層を有しており、その1つがプライマー層45として示されているウエーハを含むことができる。そのためにはモールド36と基板42の間の間隔「d」は、縮小される。このように、モールド36の形体は、基板42の整合領域、例えば実質的に平面のプロフィールを与える表面44の一部に配置されたインプリンティング材料または重合可能な材料など、の中にインプリントすることができる。当然のことながら、そのインプリンティング材料は、任意の既知の技術、例えば、スピン・コーティング、浸漬コーティングなどを用いて配置することができる。しかしながら、本実施例においては、インプリンティング材料は、基板42上に複数の相隔たる不連続の液滴46として配置される。インプリンティング材料は、選択的に重合および架橋してその中に元のパターンを記録し、記録パターンの輪郭を示すことができる組成物から構成される。
具体的には、インプリンティング材料中に記録されるパターンは、一部分、モールド36との相互作用、例えば、電気的相互作用、磁気相互作用、熱相互作用、力学的相互作用などによって作り出される。この例において、モールド36は、表面44を覆うインプリンティング材料(ポリマー層)の隣接する成型物50を生ずるように、インプリンティング材料の拡散している液滴36と力学的接触状態となる。一実施形態において、間隔「d」は、インプリンティング材料の下位部分52が凹部38に入り込んで充填することを可能にするように縮小される。凹部38の充填を促進するため、モールド36と液滴46の間の接触をさせる前に、モールド36と液滴46の間の雰囲気を、ヘリウムで飽和させるか、または完全に排気するか、または部分的に排気されたヘリウムの雰囲気にする。
そのインプリンティング材料は、隣接するインプリンティング材料の成型物により表面44を覆うと同時に凹部38を完全に充填する必須の特性を備えている。本実施形態において、凸部40と重ね合わさっている状態のインプリンティング材料の下位部分54は、望ましい、通常は最小の間隔「d」に到達した後残存する。この処置により、厚さt1を有する下位部分52、および厚さt2を有する下位部分54を有する成型物50が提供される。厚さ「t1」および「t2」は、用途に応じて所望される任意の厚さであり得る。その後、成型物50は、同一物を、インプリンティング材料に応じた適切な硬化作用因子、例えば、電磁放射線、熱エネルギーなどのエネルギーにさらすことによって固化する。これによりインプリンティング材料の重合および架橋が引き起こされる。その全過程は、周囲温度および圧力で、または所望の温度および圧力を有する環境的に制御されたチャンバー中で起こり得る。このように、成型物50は、固化されてモールド36の表面58の形状と一致する形状を有するその側面56を提供する。
図1、2および3を参照すると、インプリンティング材料の特性は、採用される独特のパターニング過程に照らして基板42を効率よく形づくるために重要である。例えば、インプリンティング材料は、厚さt1のすべてが実質的に同一であり、厚さt2のすべてが実質的に同一であるようにモールド36の外形の迅速かつ一様な充填を促進する一定の特性を有することが望ましい。そのためには、インプリント材料の粘度は、前記の特性を得るために、採用されるデポジション法に基づいて確立することが望ましい。上で述べたように、インプリンティング材料は、基板42上にさまざまな技法を用いて配置することができる。インプリンティング材料が、複数の不連続で相隔たる液滴46として配置される場合、インプリンティング材料を形成する組成物は、例えば、0.5〜20センチポイズ(cP)の範囲の比較的低粘度を有することが望ましい。インプリンティング材料が一斉に拡散してパターニングされ、そのパターンがその後放射線にさらされて成型物50に固化されることを伴うことを考慮すると、組成物により基板42および/またはモールド36の表面を濡らしてその後の重合後のくぼみまたは穴の形成を避けることが望ましい。インプリンティング材料が、スピン・コーティング技法を用いて配置される場合、粘度測定を無溶媒で測定して、例えば、10cPより高く、一般的には数百〜数千cPの粘度を有するより高粘度の材料を使用することが望ましい。
液相の特性として述べた前述の特性に加えて、組成物は、インプリンティング材料に一定の固化した相の特性を与えることが望ましい。例えば、成型物50の固化後、選択的な接着および剥離特性がインプリンティング材料によって示されることが望ましい。具体的には、基板42に対する選択的接着性とモールド36の選択的剥離性とを成型物50にもたらすことは、インプリンティング材料がそこから加工される組成物の利点である。このように、とりわけ、成型物50の、引き裂き、引き伸ばしまたはその他の構造劣化によるそこからのモールド36の分離によりもたらされる記録パターン中の歪みの可能性が減少する。
前述の特性を与えるインプリンティング材料を形成する組成物の構成成分は、さまざまであり得る。これは、基板42が、多数の異なる材料から形成されることに由来する。結果として、表面44の化学組成は、基板42を形成する材料によって変化する。例えば、基板42は、シリコン、プラスチックス、ガリウムヒ素、テルル化水銀、およびそれらの複合体から形成することができる。上で述べたように、基板42は、その上に成型物50を生じさせる、例えば誘電体層、金属層、半導体層、平坦化層などのプライマー層45として示されている1つまたは複数の層を含むことができる。そのためには、プライマー層45を、任意の適当な技法、例えば、化学蒸着、スピン・コーティングなどを用いてウエーハ47上に配置する。加えて、プライマー層45は、任意の適当な材料、例えば、シリコン、ゲルマニウムなどから形成することができる。加えて、モールド36は、例えば、溶融石英、石英、インジウムスズ酸化物、ダイヤモンド状炭素、MoSi、ゾル・ゲル類などのいくつかの材料から形成することができる。
成型物50を生ずる組成物は、いくつかのバルク材の異なる群から組み立てることができることが見出されている。例えば、組成物は、ビニルエーテル類、メタクリレート類、エポキシ類、チオレン類(thiolenes)およびアクリレート類などから組み立てることができる。
成型物50を形成するための典型的なバルク材は、次の通りである:
バルク・インプリンティング材料
イソボルニルアクリレート
n−ヘキシルアクリレート
エチレングリコールジアクリレート
2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オン
アクリレート成分、イソボルニルアクリレート(IBOA)は、次の構造:
Figure 0005399374
を有しており、重量でほぼ47%のバルク材を含むが、20%〜80%(両端を含む)の範囲で存在し得る。結果として成型物50の力学的性質は、主としてIBOAに起因する。IBOAの典型的な供給源は、Exton、PennsylvaniaのSartomer Company, Inc.であり、製品名SR 506のもとで入手できる。
成分n−ヘキシルアクリレート(n−HA)は、次の構造:
Figure 0005399374
を有しており、重量でほぼ25%のバルク材を含むが、0%〜50%(両端を含む)の範囲で存在し得る。成型物50に柔軟性も提供しながら、n−HAは、バルク材が、液相において、2〜9センチポイズ(両端を含む)の範囲の粘度を有するように、従来技術のバルク材の粘度を低下するために使用される。n−HAの典型的な供給源は、Milwaukee、Wisconsinのthe Aldrich Chemical Companyである。
架橋成分、エチレングリコールジアクリレートは、次の構造:
Figure 0005399374
を有しており、重量でほぼ25%のバルク材を含み、10%〜50%(両端を含む)の範囲で存在し得る。EGDAは、また、弾性率および剛性の増加にも寄与し、その上バルク材の重合の間にn−HAおよびIBOAの架橋を促進する。
開始剤成分、2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オンは、Tarrytown、New YorkのCiba Specialty Chemicalsから商品名DAROCUR(登録商標) 1173の商品として入手可能であり、次の構造:
Figure 0005399374
を有しており、重量でほぼ3%のバルク材を含み、1%〜5%(両端を含む)の範囲で存在し得る。開始剤が反応する電磁放射線は、中圧水銀ランプによって発生する広帯域紫外線エネルギーである。このように、開始剤は、バルク材の成分の架橋および重合を促進する。
本明細書に参照により組み込まれている、Xuらの名称が「Composition to Reduce Adhesion Between a Conformable Region and a Mold,」である同時係属の米国特許出願公開第20060111454号には、上で述べた望ましい選択的接着および剥離の特性は、図3および4に示されているモールド36の表面58と成型物50との間に弱い境界層としてラメラ(薄膜)60、を生成させることによって得られることが開示されている。ラメラ60は、インプリンティング材料の固化後残留する。結果として、モールド36と成型物50の間の接着力は最小限である。そのためには、上で述べたバルク・インプリンティング材料のようないくつかの組成物の1つを、界面活性剤成分と呼ばれ、米国特許出願公開第20060111454号に十分に記載されている低い表面エネルギーの基を含有する成分と共に含む組成物をインプリンティング材料に対して使用することが有利であることが見出された。
図5について言及すると、インプリンティング材料の配置後、界面活性剤成分は、しばらくすると空気液体界面まで上昇し、2つに分かれた材料の濃度を有するインプリンティング材料の液滴146を生成する。液滴146は、界面活性剤成分に富む(SCR)サブ部分136と呼ばれる第1の部分の中に、界面活性剤成分の枯渇した(SCD)サブ部分137と呼ばれる第2の部分よりより高い濃度の界面活性剤成分を含む。SCDサブ部分137は、表面44とSCRサブ部分136の間に位置する。SCRサブ部分136は、インプリンティング材料が固化した時点でモールド36とインプリンティング材料の間の接着力を弱める。厳密に言うと、界面活性剤成分は対抗する端面を有する。インプリンティング材料が、液相の、すなわち、重合できる状態のとき、その対抗する端面の1つはインプリンティング材料中に含まれているバルク材に対して親和性を有する。その残りの端面はフッ素成分を有する。
図4および5について言及すると、界面活性剤成分は、バルク材に対する親和性の結果として、そのフッ素成分が、インプリンティング材料と周囲の環境とによって定まる空気−液体界面から伸びるように配向する。
インプリンティング材料の固化時点で、インプリンティング材料の第1の部分は、ラメラ60を生じ、インプリンティング材料の第2の部分、すなわち、成型物50として示されているポリマー材料は、固化される。ラメラ60は、成型物50とモールド36の間に位置する。ラメラ60は、SCRサブ部分136中のフッ素成分の存在および位置によってもたらされる。ラメラ60は、モールド36と成型物50の間に強い接着力が発現することを防ぐ。具体的には、成型物50は、第1と第2の対抗する端面62および64を有する。端面62は、モールド36に第1の接着力により接着する。端面64は、基板42に第2の接着力により接着する。ラメラ60は、その第1の接着力がその第2の接着力より小さくしている。結果として、モールド36を、成型物50から分離するために必要な歪曲および/または力を最小限にしながら、モールド36をそこから容易に取り外すことができる。成型物50は端面62がパターニングされて示されているが、端面62が、平面ではないにせよ、平滑であり得るのは当然のことである。
その上、必要に応じて、ラメラ60を発生させて成型物50と基板42の間に配置されるようにすることが可能である。これは、例えば、インプリンティング材料をモールド36に塗布し、その後基板42をモールド36上のそのインプリンティング材料と接触させることによって達成することができる。このように、成型物50は、ラメラ60と物体、例えば、モールド36または基板42の間に配置され、その上に重合性材料が置かれると言ってもよい。当然のことながら、インプリンティング材料をスピン・コーティング技法を用いて付着させた場合、SCRサブ部分236および2番目のSCDサブ部分237について図6に示されているように、同様の2つに分かれた材料の濃度が起こる。その分岐に要する時間は、組成物中の分子の大きさおよびその組成物の粘度を含めたいくつかの要因に依存する。20cPより低い粘度を有する組成物の前述の分岐を達成するためにはほんの数秒のみが必要である。しかしながら、数百cPの粘度を有する材料は、数秒〜数分を要する可能性がある。
しかしながら、ラメラ60は均一ではない可能性があることが見出されている。ラメラ60のある領域は他より薄く、いくつかの極端な場合は、テンプレート表面のきわめて小さい割合で、テンプレート36は成型物50と接触した状態であるように、ラメラ60が欠けていることがあり得る。ラメラ60のより薄い領域およびラメラ60の欠けた状態の結果として、成型物50の基板42からの歪曲および/またはデラミネーションが起こり得る。具体的には、モールド36の分離と同時に、成型物50は、離脱力Fsを受ける。離脱力Fsは、モールド36にかかる牽引力Fpおよび接着力、例えば、ラメラ60によって低減されたときの成型物50とモールド36の間のファン・デル・ワールス力に起因する。ラメラ60の存在によって、離脱力Fsは、一般的に、成型物50と基板42の間の接着力FAの大きさより小さい大きさを有する。しかしながら、ラメラ60の減少、または不在により、局所的な離脱力Fsは、局所的な接着力FAの大きさに接近し得る。局所力とは、ラメラ層60の与えられた領域に存在する力を意味し、それはこの例においてはラメラ層60の薄い領域またはラメラ層が実質的に存在しないところに最も近い局所の力である。これは、成型物50の基板42からの歪曲および/またはデラミネーションを引き起こす。
図7について言及すると、プライマー層45の存在下では、2つの接合部分66および68の存在によってより複雑な状況が存在する。第1の接合部分66には第1の接着力F1がプライマー層45と成型物50の間に存在する。第2の接合部分68には第2の接着力F2がプライマー層45とウエーハ47の間に存在する。離脱力Fsは、接着力F1およびF2のいずれより小さい大きさを有することが望まれる。しかしながら、上で述べたように、ラメラ60の厚さの変動、または不在によって離脱力Fsは、接着力F1およびF2の1つまたは両方の大きさと同じか接近する可能性がある。これは、成型物50のプライマー層45からの、プライマー層45のウエーハ47からのまたはその両方のデラミネーションを引き起こし得る。
本発明は、上で述べたデラミネーションの問題を、それぞれ第1および第2接合部分の第1のF1および第2のF2接着力が、ラメラ層のばらつきを考慮して、離脱力Fsより大きい可能性を増す材料からプライマー層45を形成することによって、回避しないまでも減少する。そのためには、プライマー層45を、接合部分66、すなわちプライマー層45と成型物50の間、ならびに接合部分68、すなわちプライマー層45とウエーハ47の間、で強い結合を形成する組成物から形成する。その組成物は、1つまたは複数の成分を含むことができる。いくつかの実施形態において、その組成物は、例えば加水分解反応で使用することができる1つの成分と大気からの水分(H2O)とを含む。この例において、第1の接合部66におけるプライマー層45と成型物50の間の接着は、共有結合、すなわち、プライマー層45を形成する組成物と成型物50を形成する組成物との間に存在する共有結合、の結果である。プライマー層45とウエーハ47の間の接着は、さまざまな機構の任意の1つによって達成することができる。これらの機構としては、プライマー層45を形成する組成物とウエーハ47を形成する材料の間に形成される共有結合を挙げることができる。別法では、またはその共有結合に加えて、プライマー層45を形成する組成物とウエーハ47を形成する材料の間にイオン結合を形成させることができる。別法では、またはその共有結合および/またはそのイオン結合あるいはその両方に加えて、プライマー層45を形成する組成物とウエーハ47を形成する材料の間の接着は、向かい合うファン・デル・ワールス力により達成することができる。
これは、接着プライマー層45を、一般に次の:
Figure 0005399374
のように表される1種または複数の成分を含む組成物から形成することによって達成される。その接着プライマー層のための組成物は、成分I、II、またはIIIあるいはそれらの組み合わせを含むことができる。成分I、II、およびIIIは、第1の末端、第2の末端、およびリンカー基(R、R’、R”)を含む。例えば、成分IおよびIIにおいて、その第1の末端は、YXnZ3−nを含むと考えることができ、一方その成分IIIの第1の末端は、Wを含むと考えることができる。同様に、成分IおよびIIIにおいて、その第2の末端は、X’を含むと考えることができる。
上記の成分IおよびIIIにおいて、X’は、例えば紫外線照射のような活性化中にインプリンティング・モノマー(重合可能な材料)と共有結合することができる官能基である。アクリレート系インプリンティング・モノマーについては、X’は、例えば、アクリル基またはメタクリル基であり得る。
上記の成分I、IIおよびIIIにおいて、Yは、Siを含むがこれに限定されない四価の原子であり、Xは、Y−X結合が加水分解されてY−OHになることを可能にする官能基(例えば、脱離基)である。そのヒドロキシル基は、その後インプリンティング基板と反応して基板との共有結合を形成することができる。YがSiである実施形態において、Xは、例えば、−OCH3、−OCH2CH3、−Cl、−OC(=O)CH3などであり得る。成分I、II、およびIIIにおいて、nおよびmは、全体を含めて1から3まで変動する。より高い数の脱離基(例えば、n=3および/またはm=3)は、基板(例えば、基板の表面)および/または接着プライマー層中のその他の分子に対する複数の結合を可能にし、接着プライマー層の基板の表面に対する接着の強度を増すことができる。
上記の成分I、II、およびIIIにおいて、Zは、一般に、Yの四価の要件を満たす非反応性の基である。いくつかの実施形態において、または、例えばメチル基であり得る。
上記の成分I、II、およびIIIにおいて、Wは、インプリンティング基板と反応または相互作用することができる酸の官能基である。いくつかの実施形態において、Wは、例えば、カルボン酸またはリン酸などである。
上記の成分I、II、およびIIIにおいて、R、R’、およびR”は、異なる長さを有するリンカー基である。一般的なリンカー基は炭化水素系である。リンカー基としては、例えば、1〜10個以上の炭素原子を含むもの(アルキル基、エチレン性不飽和基、芳香族基)を挙げることができる。リンカー基は、リンカー基の長さ、剛性、および/または結合強度(複数可)を含むがこれらに限定されないさまざまな因子に対して選択することができる。
上記の成分IおよびIIにおいて、Xは、成分IおよびIIの末端(例えば、第1の末端)の基板42を形成する材料との交差反応を、そことの共有結合、そことのイオン結合、および/または基板の表面とのファン・デル・ワールス力によって達成してそこに接着するために選択される。これは、直接または間接的に達成することができる。すなわち、Xが脱離基である場合、Xは、基板の表面と直接反応はしない。この実施形態においては、Xの離脱を含む化学反応により、Yが化学的に反応して基板の表面との共有結合を形成することが可能となる。
いくつかの実施形態において、官能基Xは、接着プライマー層45の架橋および重合反応に参加することができる。X官能基は、X’官能基が架橋反応するように感応する活性化エネルギーとは異なる活性化エネルギーに感応して重合および架橋を促進することができる。X官能基は、熱エネルギーへの暴露に感応してプライマー層45中の分子の架橋を促進することができる。官能基Xは、1)基板42を形成する材料との直接反応、2)基板42と反応する架橋剤の結合官能基を有する架橋剤分子との反応、3)十分な長さの分子の鎖が成型物50(ポリマー層)と基板42の表面の間に展開して連結することができるようにするためのプライマー層45の重合および架橋、および4)Yが上記の1〜3を達成することを可能にするXの離脱、を含むがこれらには限定されない機構を通して基板42との交差反応を促進するように選択し、それによって、ポリマー層が基板の表面に接着することを可能にする。
上記の成分IおよびIIIにおいて、X’は、成型物50(ポリマー層)を形成する重合可能な材料との交差反応を得るように選択し、それらの間に共有結合を形成する。X’基の官能性は、成型物50の重合の間に交差反応が起こるように設定する。結果として、官能基X’の選択は、成型物50(ポリマー層)を形成する重合可能な材料の特性に依存する。いくつかの実施形態において、X’は、成型物50を形成する組成物の官能基と反応するように選択される。例えば、成型物50をアクリレートモノマー類から形成する場合、X’は、アクリル、ビニルエーテル、および/またはメタクリル基、ならびに/あるいは成型物50中のアクリル基と共重合することができる官能基を含むことができる。結果として、X’官能基は、例えば紫外線のような活性化に感応して交差反応する。
図7および8について言及すると、バルク材から形成される成型物50の存在下でプライマー層45を形成するために使用することができる典型的な多官能反応性化合物Aとしては、アクリルオキシメチルトリメトキシシラン(Gelest, Inc.、Morristown、PA)が挙げられる。アクリルオキシメチルトリメトキシシランは、次の構造:
Figure 0005399374
を有する。そのX’官能基70は、インプリンティング材料と結合するためのアクリル官能性を提供する。X官能基72(n=3)は、Y(四価のSi)に結合しているメトキシ脱離基である。官能基70および72は、主鎖成分またはリンカー基74の反対側の末端と連結している。
約60℃の引火点をもつアクリルオキシメチルトリメトキシシランは、約170℃の沸点を有しており、すなわち当技術分野では蒸着可能であることが知られているトリデカフルオロ−1,1,2,2−テトラヒドロオクチルトリクロロシラン(FOTS)自己組織化単分子膜(SAM)の沸点より約20℃低い。接着の要件を全て満たし、かくして蒸着法を容易にしながら沸騰温度を低く保つのは望ましいことであり得る。
図8に示すように主鎖成分74は1個の炭素原子を含む。短い主鎖成分は、X’およびX官能基を共によりしっかりと保持することができ、モールドの分離の間にX’およびX官能基を分裂するには、より多くのエネルギーを必要とする。それ故、短い主鎖成分ほどより強い接着プライマー層を、それ故、重合した材料と基板との間に長い主鎖成分より強い結合を提供することができる。いくつかの実施形態において、アクリルオキシメチルトリエトキシシランは、多官能反応性化合物Iとして使用することができる。
図7および9について言及すると、バルク材から形成される成型物50の存在下でプライマー層45を形成するために使用することができる別の多官能反応性化合物Iとしては、次の構造:
Figure 0005399374
を有するアクリルオキシプロピルトリクロロシラン(Gelest, Inc.)が挙げられる。そのX’官能基76は、インプリンティング材料と結合するためのアクリル官能性を提供する。X官能基78(n=3)は、Y(四価のSi)に結合している3つの−Cl脱離基を含む。官能基76および78は、主鎖成分80の反対側の末端と連結している。主鎖成分80は、3個の炭素原子を含む。
図7および10について言及すると、バルク材から形成される成型物50の存在下でプライマー層45を形成するために使用することができる別の多官能反応性化合物Iとしては、次の構造:
Figure 0005399374
を有するアクリルオキシプロピルトリメトキシシラン(Aldrich、Milwaukee、WI)が挙げられる。そのX’官能基82は、インプリンティング材料と結合するためのアクリル官能性を提供する。そのX官能基84(n=3)は、Y(四価のSi)に結合している3つのメトキシ脱離基を含む。官能基82および84は、主鎖成分86の反対側の末端と連結している。主鎖成分86は、3個の炭素原子を含む。
図7および11について言及すると、バルク材から形成される成型物50の存在下でプライマー層45を形成するために使用することができる多官能反応性化合物IIとしては、次の構造:
Figure 0005399374
を有する1,2−ビス(トリメトキシシリル)エタン(Aldrich)が挙げられる。そのX官能基88(m=3)および90(n=3)は、Y(四価のSi)に結合している3つのメトキシ脱離基を含む。官能基88および90は、主鎖成分94の反対側の末端と連結している。主鎖成分94は、2個の炭素原子を含む。
図7および12について言及すると、バルク材から形成される成型物50の存在下でプライマー層45を形成するために使用することができる多官能反応性化合物IIとしては、次の構造:
Figure 0005399374
を有する1,6−ビス(トリクロロシリル)ヘキサン(Aldrich)が挙げられる。そのX官能基94(m=3)および96(n=3)は、Y(四価のSi)に結合している3つの−Cl脱離基を含む。官能基94および96は、主鎖成分98の反対側の末端と連結している。主鎖成分98は、6個の炭素原子を含む。
いくつかの実施形態において、IIIは、例えば、次の構造:
Figure 0005399374
を有するアクリル酸(Aldrich)であり得る。
一実施形態において、流体状態の成分I、II、および/またはIIIは、基板上に接着プライマー層を接着させるためにコーティング工程中に基板と接触させる。そのコーティング工程としては、当技術分野で既知の浸漬コーティング法または化学蒸着法のいずれかを挙げることができる。接着プライマー層は、例えば、IIはなくIとIII、IはなくIIIとII、IとIIでIIIはなく、IとIIIでIIはなく、またはI、II、およびIIIを含むことができる。IIがIと共に使用されるとき、IIの中のX官能性により、IIがIとIIの共重合のための鎖延長剤としての役目を果たすことが可能となる。
一実施形態において、接着プライマー層の成分を、基板の表面と接触させる。プライマー層組成物と基板の間の化学反応の開始は、成分Iおよび/または成分IIの第1の末端および/または成分IIIのW官能基が基板に(例えば、共有結合で、イオン的に、またはファン・デル・ワールス力により)結合することを可能にする。特定の実施形態において、例えば、プライマー層成分がアクリルオキシメチルトリメトキシシランまたはアクリルオキシプロピルトリメトキシシランを含むときは、その組成物は互いに(ならびに基板に)結合して基板の表面に網状化したポリマー・コーティングを形成する。プライマー層成分がIおよびIIを含む実施形態においては、IとIIとの共重合が、その網状化したポリマー・コーティングを強化することができる。
化学反応の開始は、例えば、プライマー層組成物および/または基板の加熱によって達成することができる。いくつかの実施形態において、プライマー層組成物と基板の間(例えば、脱離基(複数可)Xの除去後の成分Iおよび/または成分IIの第1の末端および/または成分IIIの第1の末端(W)と基板の表面との間)の化学反応を開始する方法が、プライマー層組成物の成分のX’官能性に影響を及ぼすことはない。例えば、プライマー層組成物を加熱することにより、成分IまたはIIIの第2の末端の反応を引き起こさずに(すなわち、X’官能性を変化させることなく)、成分I、II、またはIIIの第1の末端の基板の表面に対する官能基を介した直接または間接的な結合を引き起こすことができる。
いくつかの実施形態において、X’官能性は、インプリント・リソグラフィー法のあるステップの間に反応する。例えば、X’官能性は、インプリンティング材料の紫外線露光または照射の間に、プライマー層組成物のX’官能性の成分が重合中に重合可能なインプリンティング材料中のモノマーと反応し、共有結合を形成して、ポリマー層(重合可能な材料から形成される)と基板の間の強力な接着を促進するように反応することができる。
接着プライマー層の蒸着は、当技術分野で既知の任意の化学蒸着法により達成することができる。例えば、蒸着は、真空オーブン中または半導体製造プロセスに適合するトラック式の道具により達成することができる。いくつかの実施形態において、接着プライマー層組成物は、蒸着工程の間に加熱することによって気化することができる。他の実施形態において、接着プライマー層組成物は、蒸着工程の間に加熱と減圧の組み合わせによって気化することができる。さらに他の実施形態において、接着プライマー層組成物は、キャリヤー・ガス例えば窒素またはアルゴンなどにより組成物を泡立たせることによって気化することができる。周囲圧における接着プライマー層組成物の沸点は、例えば、約350℃未満であり得る。いくつかの実施形態において、接着プライマー層組成物の沸点は、約250℃未満であり得る。蒸着温度は、減圧環境下またはガス泡立て技法により接着プライマー層組成物の沸点より下であり得る。
いくつかの実施形態において、接着プライマー層は、化学蒸着によって基板の1つの表面に接着させることができる。他の実施形態において、接着プライマー層は、化学蒸着により基板の2つ以上の表面に同時に接着させることができる。基板は、例えば、蒸気が、その基板の第1の表面と第2の表面のコーティングの間にその基板を回転または再配置することなく、実質的に同時に基板の2つ以上の表面と接することを可能にするように、ピンリフト上に配置することができる。かくして、接着プライマー層の蒸着は、基板のバッチ処理を可能にし、それによって接着プライマー層を付着させるために従来使用されているウエーハからウエーハへのスピン・コーティング工程に要する処理時間を減少することができる。
加えて、接着プライマー層の蒸着は、スピン・コーティング工程中に基板とスピン・コーティング装置、例えばスピン・チャック、と物理的に接触する間に生じる微粒子汚染なしで、基板の2つ以上の表面が接着プライマー層で被覆されることを可能にする。接着プライマー層は、実質的に平行な基板の2つの表面に蒸着させることができる。かくして、接着プライマー層の蒸着は、磁気媒体を含む両面媒体の製造を容易にする。両面媒体の例としては、例えば、コンパクト・ディスク、デジタル・ビデオ・ディスク、およびハード・ディスクが挙げられる。
接着プライマー層組成物の成分は、官能性(多官能または単官能)、リンカー基の長さ、リンカーの剛性、リンク結合強度(複数可)、pH、架橋密度、反応性、貯蔵寿命および/または安定性、ならびに沸騰温度を含むがこれらに限定されない因子に関して選択することができる。接着層の強度は、リンカー基の性質(例えば、そのリンカー基中の最も弱い結合)によって制限され得る。従って、成分は、XとX’官能性の間に強い結合を有する短いリンカーを有するように選択すればよい。いくつかの実施形態において、強いリンカー結合(例えば、いくつかの芳香族基)は蒸着の困難さを増す高沸点をもたらし得る。
SixNy、SiO2、およびSiを含むさまざまな基板上のさまざまな接着プライマー層組成物の接着特性を評価するために実験を行った。下記の接着プライマー層組成物の接着特性を、組成物の基板接着の比較を可能にするさまざまな浸漬コーティング法およびスピン・コーティングに対して評価した。
組成物1は、アクリルオキシメチルトリメトキシシラン(図8に示されている)のイソプロパノール中の0.1%溶液である。この組成物を浸漬コーティングによって塗布した。
組成物2は、アクリルオキシプロピルトリメトキシシラン(APTMS)(図10に示されている)のイソプロパノール中の0.1%溶液である。この組成物を浸漬コーティングによって塗布した。
組成物3は、DUV30J−16、底面反射防止コーティング(BARC)(Brewer Science、Rolla、MO)である。DUV30J−16は、フェノール樹脂を含有し、その架橋剤は、カルボン酸官能基と反応することができる。DUV30J−16は、成型物50と共有結合を形成しないものと考えられる。
組成物4は、およそ77グラムのIsoRad 501(Schenectady、New YorkのSchenectady International, Inc.から入手可能)、22グラムのCymel 303ULF(Cytec Industries, Inc.、West Patterson、NJ)および1グラムのCycat 4040(Cytec Industries, Inc.)を含む。IsoRad 501、Cymel 303ULFおよびCycatを混合する。そのIsoRad 501、Cymel 303ULFおよびCycatの混合物を次におよそ1900グラムのPM Acetate中に導入する。PM Acetateは、2−(1−メトキシ)プロピルアセテート(Eastman Chemical Company、Kingsport、TN)からなる溶剤の製品名である。
およそ厚さが1mm、側面寸法が75×25mmのスライド・ガラスに組成物1〜2を浸漬コーティングして接合部分66および68の接着力の強さの比較データを生じさせた。プライマー層45と成型物50を配置する前に、そのスライド・ガラスを清浄にする。具体的には、各スライド・ガラスは、Piranha溶液(H2SO4:H22=2:1容積比)にさらす。そのスライド・ガラスをその後脱イオン水ですすぎ、イソプロピルアルコールを吹き付け、乾燥用の流体の流れ、例えば窒素ガスの流れにさらす。その後、そのスライド・ガラスを120℃で2時間焼いて乾燥させる。
組成物1〜2は、当技術分野で既知の浸漬コーティング技法を用いてスライド・ガラスに付着させる。組成物3〜4は、当技術分野で既知のスピン・コーティング技法を用いてスライド・ガラスに付着させる。組成物1および2については、プライマー層45をスライド・ガラス上で80℃のホット・プレートにより10分間乾燥させる。組成物3については、プライマー層45をスライド・ガラス上で180℃のホット・プレートにより2分間乾燥させる。組成物4については、プライマー層45をスライド・ガラス上で150℃のホット・プレートにより5分間乾燥させる。言い換えれば、浸漬コーティングおよびスピン・コートした組成物1〜4は、固化、すなわち、熱エネルギーにさらすことによって重合および架橋する。プライマー層を乾燥した後、バルク・インプリンティング材料の1滴を、第1のスライド・ガラスのコーティング面の中心に塗布する。
そのバルク・インプリンティング材料は、次に、2つのスライド・ガラス上のプライマー層を互いに向き合った状態にして、バルク・インプリンティング材料を接触させることによって2つのプライマー層45の間に挟む。一般的に、2つのスライド・ガラスの1つの縦軸は、残りのスライド・ガラスの縦軸に対して直角に伸びて、約25×25mmの重なる領域を有する「t」の形を形成する。そのバルク・インプリンティング材料は、固化、すなわち、その2つのスライド・ガラスを化学線エネルギー、例えば中圧水銀紫外線ランプを用いる広帯域紫外線波長などに20mW/cm2の強度で40秒間さらすことによって重合、および架橋させる。
接着の強度を測定するために、Japanese Journal of Applied Physics、第4巻(2002年)、4194〜4197頁の「Measurement of Adhesive Force Between Mold and Photocurable Resin in Imprint Technology」に記載されているものと同様の接着試験および技法に対して、四点曲げ固定具を採用した。その最大の力/負荷を接着強さとして取り扱った。スライド・ガラスの1つが、デラミネーションが起こる前またはスライド・ガラスが分離する前に壊れた場合、その最大の力/負荷を最低限の接着強さとして取り扱った。トップとボトムの2点のビーム間隔は60mmである。負荷は1分当たり0.5mmの速度でかけた。
上で述べた四点曲げ接着試験を用いて、組成物2と3は、約4lbfの同様の接着強さを示した。同じ試験のもとで、組成物1と4は、真の接着を測定する前に、(1つまたは複数の)壊れたスライドをもたらした。その壊れるときの接着力(最低限の接着力)は、約12lbfであると測定された。従って、組成物1と4は、同様の条件下で組成物2と3の接着力より少なくとも約3倍強い接着力を示した。
準備の浸漬コーティング試験の後、アクリルオキシメチルトリメトキシシラン(組成物1から)をバブラーおよび加熱方法によって蒸着させた。蒸着したアクリルオキシメチルトリメトキシシランの接着特性をスピン・コートした組成物4の接着特性と比較した。このデモンストレーションは、Hitachi Global Storage Technologies(San Jose、CA)により供給された5nmの表面SixNyコーティングを有する65mmのガラス・ディスクを利用した。基板は、前処理していない担体から直接取った。
蒸着法においては、65mmの基板をアルミニウム・スライド(そのアルミニウム・スライドは、この基板によって一部が覆われている)上にセットし、そのアルミニウム・スライドをホット・プレート上に置いた。そのホット・プレートは、90℃に維持した。3μLのアクリルオキシメチルトリメトキシシランをそのアルミニウム・スライドの覆われていない部分に施した。熱によって発生する蒸気を保持するために、その基板とアルミニウム・スライドの両方の上に蓋を置いた。その保持した蒸気を基板に蒸着させた。基板を1分後に除去し、周囲条件に20分間さらした後、接着試験を行った。5mmの直径を有するガラス棒も同様にしてアクリルオキシメチルトリメトキシシランをコーティングした。
バブラー蒸気法においては、8mLの小瓶の中の1mLのアクリルオキシメチルトリメトキシシランを通して泡立てた。蒸気送達ラインを有する蓋を基板の上に置き、その基板を蒸気に15〜60秒間さらした。基板を次に周囲条件に10分未満さらした後、接着試験を行った。5mmの直径を有するガラス棒も同様にしてアクリルオキシメチルトリメトキシシランをコーティングした。
相対的に、組成物3は、基板にスピン・コートし、周囲条件に20分未満でさらした後、接着試験を行った。5mmの直径を有するガラス棒に組成物3をブラシコーティングした。
相対的に、組成物4は、基板にスピン・コートし、周囲条件に20分未満でさらした後、接着試験を行った。5mmの直径を有するガラス棒に組成物4をブラシコーティングした。
接着試験は、1滴のバルク・インプリンティング材料をコーティングした基板上に置き、コーティングしたガラス棒をその基板上にそのガラス棒が基板の水平な面と実質的に垂直であるように配置することにより行った。そのインプリンティング材料は、紫外線により硬化した。基材上に固定されたガラス棒により、そのガラス棒の基板に対する接着を評価するために、そのガラス棒のせん断試験を行った。すなわち、その接着力は、そのガラス棒を基板から剥ぎ取るために要する力として記録した。
せん断試験は、組成物1に対しては約35lbf(蒸着法については約37lbfおよびバブラー法については約33lbf)の、そして、スピン・コートした組成物3に対しては10lbfのせん断力をもたらした。組成物1のせん断力は、組成物3のせん断力の約3.5倍であるが、一旦そのせん弾力から摩擦推力が除去されれば、組成物1を剥離する形における真の接着は組成物3のそれの3.5倍を超える。
せん断試験は、スピン・コートした組成物4に対しては約30〜40lbfのせん弾力をもたらした。
上記の本発明の実施形態は、典型的なものである。多くの変更および修正を、本発明の範囲内に留まりながら、上に列挙した開示に加えることができる。それ故、本発明の範囲は、上記の説明によって限定されるべきではなく、それよりはむしろ添付の特許請求の範囲ならびにそれらの相当物の全範囲との関連で決定されるべきである。
10 システム; 22 流体ディスペンス・システム; 26 発生源;
32 プロセッサ; 34 メモリー; 36 モールド; 38 凹部;
40 凸部; 42 基板; 44 表面; 45 プライマー層; 46 液滴;
50 成型物50; 52 (インプリンティング材料の)下位部分;
54 (インプリンティング材料の)下位部分; 60 ラメラ(薄膜);
62,64 端面; 146 液滴;
136 界面活性剤成分に富む(SCR)サブ部分136;
137 界面活性剤成分の枯渇した(SCD)サブ部分137。

Claims (27)

  1. (a)コーティング工程において、流体を基板の表面と接触させるステップ(ただし、該流体は、一般式IまたはII:
    Figure 0005399374
    [式中、Xは脱離基であり;X’は、アクリル基、メタクリル基、またはビニルエーテル基であり;YはSiであり;Zは非反応性基であり;およびRおよびR’はそれぞれ独立に1〜10の炭素原子を有するリンカー基であり;およびnおよびmはそれぞれ独立に1〜3の整数である]
    により表される成分を含む)と、
    (b)接着プライマー層が該基板の表面に接着するように、該成分の第1の末端と該基板の表面との間で第1の共有結合を形成する第1の化学反応を開始させるステップと、
    (c)インプリント・リソグラフィー法によって、ポリマー層を該基板の表面に接着させるステップと
    を含む方法であって、前記接着させるステップが
    (i)該基板の表面の該接着プライマー層上に重合性材料を付着させるステップと、
    (ii)該重合性材料の重合を開始させてポリマー層を形成するステップと、
    (iii)該接着プライマー層中の該成分の該第2の官能基と該重合性材料との間の第2の化学反応を開始して該ポリマー層を該基板の表面に接着させるステップと
    を含む方法。
  2. 該コーティング工程において、該流体を該基板の前記表面および該基板の第2の表面と実質的に同時に接触させるステップと、前記接着プライマー層を該基板の前記表面に、および第2の接着プライマー層を該基板の該第2の表面に実質的に同時に接着させるステップとをさらに含む請求項1に記載の方法。
  3. インプリント・リソグラフィー法によって、第2のポリマー層を該基板の第2の表面に接着させるステップをさらに含み、前記接着させるステップが、
    (a)第2の重合性材料を該基板の第2の表面上の該第2の接着プライマー層上に付着させるステップと、
    (b)該第2の重合性材料の重合を開始して第2のポリマー層を形成させるステップと、
    (c)該第2の接着プライマー層中の該成分の該第2の官能基と該第2の重合性材料との間の第2の化学反応を開始して該第2のポリマー層を該基板の第2の表面に接着させるステップと
    を含む請求項2に記載の方法。
  4. 該流体が蒸気であり、該コーティング工程が化学蒸着法である請求項1に記載の方法。
  5. 該蒸気を液体から形成させるステップをさらに含む請求項4に記載の方法。
  6. 該流体が液体であり、該コーティング工程が浸漬コーティング法である請求項1に記載の方法。
  7. 該流体中にさらなる成分を含み、前記成分を前記第2の成分と共重合させるステップをさらに含む請求項1に記載の方法。
  8. 該第1の化学反応を開始するステップが、加熱することを含む請求項1に記載の方法。
  9. 該第2の化学反応を開始するステップが、該重合性材料を紫外線にさらすことを含む請求項1に記載の方法。
  10. 該基板の第1の表面と該基板の第2の表面が、実質的に平行である請求項2に記載の方法。
  11. 該基板がシリコンを含む請求項1に記載の方法。
  12. 該基板を、シリコン、酸化シリコン窒化シリコン、タンタル、アルミニウム、石英、および溶融石英からなる群から選択する請求項1に記載の方法。
  13. 該基板が、磁気媒体を含む請求項1に記載の方法。
  14. 該成分が、該成分の第1の末端に第1の官能基を含み、該第1の官能基が、脱離基である請求項1に記載の方法。
  15. (a)基板を多官能成分と接触させるステップ[ただし、
    (i)該多官能成分は、第1の末端、第2の末端、および該第1の末端と該第2の末端の間のリンカー基を有しており、
    (ii)該第1の末端は、シリコン原子を含み、
    (iii)該リンカー基は、最大で3個の炭素原子を有する炭化水素基である]と、
    (b)該多官能成分の第1の末端のシリコン原子を該基板に共有結合させて接着プライマー層を形成するステップと、
    (c)インプリント・リソグラフィー工程の間に、該多官能成分の第2の末端を重合性材料に共有結合させるステップと
    を含む方法。
  16. 前記多官能成分が、最高で約350℃である沸点を有する請求項15に記載の方法。
  17. 前記多官能成分が、最高で約100cPである粘度を有する請求項15に記載の方法。
  18. 前記リンカー基が−CH2−である請求項15に記載の方法。
  19. 前記多官能成分が、アクリルオキシメチルトリメトキシシランである請求項15に記載の方法。
  20. 前記多官能成分が、アクリルオキシメチルトリエトキシシランである請求項15に記載の方法。
  21. 前記多官能成分が、アクリルオキシプロピルトリクロロシランである請求項15に記載の方法。
  22. 前記多官能成分が、アクリルオキシプロピルトリメトキシシランである請求項15に記載の方法。
  23. 前記基板を1種または複数のさらなる成分と接触させるステップをさらに含む請求項15に記載の方法。
  24. 前記さらなる成分の1種が、1,2−ビス(トリメトキシシリル)エタンである請求項23に記載の方法。
  25. 前記さらなる成分の1種が、1,6−ビス(トリクロロシリル)ヘキサンである請求項23に記載の方法。
  26. 該基板が、シリコンを含む請求項15に記載の方法。
  27. 該基板を、シリコン、酸化シリコン窒化シリコン、タンタル、アルミニウム、石英、および溶融石英からなる群から選択する請求項15に記載の方法。
JP2010503115A 2007-04-12 2008-03-19 接着プライマー層を利用するインプリント・リソグラフィーのための方法 Active JP5399374B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/734,542 US8808808B2 (en) 2005-07-22 2007-04-12 Method for imprint lithography utilizing an adhesion primer layer
US11/734,542 2007-04-12
PCT/US2008/057518 WO2008127835A1 (en) 2007-04-12 2008-03-19 Method for imprint lithography utilizing an adhesion primer layer

Publications (2)

Publication Number Publication Date
JP2010526426A JP2010526426A (ja) 2010-07-29
JP5399374B2 true JP5399374B2 (ja) 2014-01-29

Family

ID=39864653

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010503115A Active JP5399374B2 (ja) 2007-04-12 2008-03-19 接着プライマー層を利用するインプリント・リソグラフィーのための方法

Country Status (8)

Country Link
US (1) US8808808B2 (ja)
EP (1) EP2136933A4 (ja)
JP (1) JP5399374B2 (ja)
KR (1) KR101536217B1 (ja)
CN (1) CN101702886B (ja)
MY (1) MY153677A (ja)
TW (1) TWI432892B (ja)
WO (1) WO2008127835A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11520226B2 (en) 2017-05-12 2022-12-06 Canon Kabushiki Kaisha Imprint method, imprint apparatus, imprint system, and method of manufacturing article

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7162035B1 (en) 2000-05-24 2007-01-09 Tracer Detection Technology Corp. Authentication method and system
US8294025B2 (en) 2002-06-08 2012-10-23 Solarity, Llc Lateral collection photovoltaics
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US7759407B2 (en) 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US7995196B1 (en) 2008-04-23 2011-08-09 Tracer Detection Technology Corp. Authentication method and system
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
US20110030770A1 (en) 2009-08-04 2011-02-10 Molecular Imprints, Inc. Nanostructured organic solar cells
JP5583374B2 (ja) * 2009-09-07 2014-09-03 株式会社島津製作所 光硬化樹脂の特性試験装置、その試験装置で使用する保持具、特性試験方法
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
KR20130105648A (ko) * 2010-09-08 2013-09-25 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피에 사용하는 증기 전달 시스템
JP5218521B2 (ja) * 2010-10-21 2013-06-26 大日本印刷株式会社 インプリント方法とこれに用いる転写基材および密着剤
JP5982386B2 (ja) 2010-11-05 2016-08-31 モレキュラー・インプリンツ・インコーポレーテッド 非凸形ナノ構造のパターン形成
JP2012183753A (ja) * 2011-03-07 2012-09-27 Fujifilm Corp ナノインプリント方法
US20140242744A1 (en) * 2011-09-26 2014-08-28 Solarity, Inc. Substrate and superstrate design and process for nano-imprinting lithography of light and carrier collection management devices
WO2013051735A1 (en) * 2011-10-07 2013-04-11 Fujifilm Corporation Underlay film composition for imprints and method of forming pattern and pattern formation method using the same
JP5767615B2 (ja) * 2011-10-07 2015-08-19 富士フイルム株式会社 インプリント用下層膜組成物およびこれを用いたパターン形成方法
US9147423B2 (en) * 2012-04-17 2015-09-29 HGST Netherlands B.V. Method for improving a patterned perpendicular magnetic recording disk with annealing
JP5899145B2 (ja) * 2012-06-18 2016-04-06 富士フイルム株式会社 インプリント用下層膜形成組成物およびパターン形成方法
JP2015210834A (ja) * 2014-04-25 2015-11-24 株式会社東芝 パターン形成方法、及び磁気記録媒体の製造方法
US10073341B2 (en) 2014-07-08 2018-09-11 Canon Kabushiki Kaisha Adhesion layer composition, method for forming film by nanoimprinting, methods for manufacturing optical component, circuit board and electronic apparatus
KR102370616B1 (ko) 2015-02-09 2022-03-04 삼성전자주식회사 미세 패턴 형성 방법
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10156786B2 (en) 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
KR101720895B1 (ko) 2016-01-20 2017-03-29 경희대학교 산학협력단 나노임프린팅 방법
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10883006B2 (en) 2016-03-31 2021-01-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10754244B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10829644B2 (en) 2016-03-31 2020-11-10 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
KR102607657B1 (ko) 2016-06-07 2023-11-28 티씨엘 차이나 스타 옵토일렉트로닉스 테크놀로지 컴퍼니 리미티드 미세패턴 형성 방법
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
JP7112220B2 (ja) * 2017-05-12 2022-08-03 キヤノン株式会社 方法、装置、システム、および物品の製造方法
JP7235317B2 (ja) 2017-06-16 2023-03-08 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 多層構造体
WO2019172156A1 (ja) * 2018-03-07 2019-09-12 富士フイルム株式会社 インプリント用下層膜形成組成物、インプリント用硬化性組成物、キット
TWI771575B (zh) * 2018-03-27 2022-07-21 日商富士軟片股份有限公司 壓印用下層膜形成組成物、套組、壓印用硬化性組成物、積層體、積層體的製造方法、圖案形成方法及半導體器件的製造方法
US10780682B2 (en) 2018-12-20 2020-09-22 Canon Kabushiki Kaisha Liquid adhesion composition, multi-layer structure and method of making said structure
EP3929658A1 (en) 2020-06-23 2021-12-29 Koninklijke Philips N.V. Imprinting method and patterned layer

Family Cites Families (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3498827A (en) * 1966-02-02 1970-03-03 Exxon Research Engineering Co Abrasion resistant metal articles
US3810874A (en) 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US4251277A (en) 1978-04-24 1981-02-17 Sws Silicones Corporation Compositions containing thiofunctional polysiloxanes
DE3023201A1 (de) 1980-06-21 1982-01-07 Hoechst Ag, 6000 Frankfurt Positiv arbeitendes strahlungsempfindliches gemisch
US4617238A (en) 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4614667A (en) 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
DE3583707D1 (de) 1984-06-26 1991-09-12 Asahi Glass Co Ltd Durchsichtiger schwer schmutzender gegenstand mit niedriger reflexion.
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
EP0255303B1 (en) 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5028511A (en) 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5149592A (en) 1990-05-09 1992-09-22 Avery Dennison Corporation Ultraviolet radiation curable clearcoat
JP2586692B2 (ja) 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5362940A (en) 1990-11-09 1994-11-08 Litel Instruments Use of Fresnel zone plates for material processing
US6174931B1 (en) 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
JPH04330650A (ja) * 1991-05-01 1992-11-18 Dainippon Ink & Chem Inc 光ディスク用基板の製造方法
EP0759413B1 (en) 1991-05-17 1999-09-01 Asahi Glass Company Ltd. Surface-treated substrate
US5206983A (en) 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5458953A (en) 1991-09-12 1995-10-17 Mannington Mills, Inc. Resilient floor covering and method of making same
DE4228853C2 (de) 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5331020A (en) 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
US5371822A (en) 1992-06-09 1994-12-06 Digital Equipment Corporation Method of packaging and assembling opto-electronic integrated circuits
FR2693727B1 (fr) 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5298556A (en) 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
GB9220986D0 (en) 1992-10-06 1992-11-18 Ciba Geigy Ag Chemical composition
DE4234423C2 (de) * 1992-10-13 1996-10-10 Inst Mikrotechnik Mainz Gmbh Mit einem Resist beschichtete Metall- oder Halbleitersubstrate und Verfahren zur Erzielung einer stabilen Resist-Substrat-Haftung
US5432700A (en) 1992-12-21 1995-07-11 Ford Motor Company Adaptive active vehicle suspension system
US5368942A (en) 1993-01-15 1994-11-29 The United States Of America As Represented By The Secreatary Of Commerce Method of adhering substrates
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5482768A (en) 1993-05-14 1996-01-09 Asahi Glass Company Ltd. Surface-treated substrate and process for its production
US5861467A (en) 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5594042A (en) 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5462700A (en) 1993-11-08 1995-10-31 Alliedsignal Inc. Process for making an array of tapered photopolymerized waveguides
US5542978A (en) 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5837314A (en) 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5578683A (en) 1994-06-27 1996-11-26 Avery Dennison Corporation Crosslinkable graft pressure-sensitive adhesives
FR2721939B1 (fr) 1994-06-30 1997-01-03 Atochem Elf Sa Materieau d'emballage comprenant une couche d'oxyde de silicum et une couche de polyolefine
US5459198A (en) 1994-07-29 1995-10-17 E. I. Du Pont De Nemours And Company Fluoroinfused composites, articles of manufacture formed therefrom, and processes for the preparation thereof
JP3278306B2 (ja) 1994-10-31 2002-04-30 富士写真フイルム株式会社 ポジ型フォトレジスト組成物
US5550196A (en) * 1994-11-09 1996-08-27 Shell Oil Company Low viscosity adhesive compositions containing asymmetric radial polymers
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US6342389B1 (en) 1995-04-10 2002-01-29 Roger S. Cubicciotti Modified phycobilisomes and uses therefore
GB9509487D0 (en) 1995-05-10 1995-07-05 Ici Plc Micro relief element & preparation thereof
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
AU6774996A (en) 1995-08-18 1997-03-12 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6468642B1 (en) 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US20040137734A1 (en) 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20030080471A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5942302A (en) 1996-02-23 1999-08-24 Imation Corp. Polymer layer for optical media
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5725788A (en) 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
WO1997035904A1 (en) 1996-03-27 1997-10-02 Novartis Ag Process for manufacture of a porous polymer from a mixture
BR9708355A (pt) 1996-03-27 1999-08-03 Novartis Ag Processo para produção de um polímero poroso através do uso de um porogénio
ATE254641T1 (de) 1996-03-27 2003-12-15 Commw Scient Ind Res Org Poröses polymer mit hohem wassergehalt
EP0890138A1 (en) 1996-03-28 1999-01-13 Minnesota Mining And Manufacturing Company Perfluoroether release coatings for organic photoreceptors
JP3715021B2 (ja) 1996-04-09 2005-11-09 Jsr株式会社 液状硬化性樹脂組成物
US5942443A (en) 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6074827A (en) 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6228539B1 (en) 1996-09-18 2001-05-08 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US5858580A (en) 1997-09-17 1999-01-12 Numerical Technologies, Inc. Phase shifting circuit manufacture method and apparatus
US6204343B1 (en) 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6667082B2 (en) 1997-01-21 2003-12-23 Cryovac, Inc. Additive transfer film suitable for cook-in end use
US6495624B1 (en) 1997-02-03 2002-12-17 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6156389A (en) 1997-02-03 2000-12-05 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5812629A (en) 1997-04-30 1998-09-22 Clauser; John F. Ultrahigh resolution interferometric x-ray imaging
US6174932B1 (en) 1998-05-20 2001-01-16 Denovus Llc Curable sealant composition
US6132632A (en) 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US5974150A (en) 1997-09-30 1999-10-26 Tracer Detection Technology Corp. System and method for authentication of goods
US6117708A (en) 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
JP2002505766A (ja) 1998-04-15 2002-02-19 エテック システムズ インコーポレイテッド ホトレジスト現像剤および現像方法
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
KR100273172B1 (ko) 1998-08-01 2001-03-02 윤덕용 아크릴 측쇄에 디옥사스피로환기 유도체를 갖는 화합물을 이용한 포토레지스트
US6523803B1 (en) 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
TWI230712B (en) 1998-09-15 2005-04-11 Novartis Ag Polymers
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6274294B1 (en) 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6617011B2 (en) 1999-05-07 2003-09-09 Seagate Technology Llc Elastomeric lubricants for magnetic recording media
MXPA01012579A (es) 1999-06-11 2002-04-10 Bausch & Lomb Moldes de lente con recubrimientos protectores para la produccion de lentes de contacto y otros productos oftalmicos.
US6344105B1 (en) 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6723396B1 (en) 1999-08-17 2004-04-20 Western Washington University Liquid crystal imprinting
AU7361200A (en) 1999-09-10 2001-04-10 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6391217B2 (en) 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6498640B1 (en) 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
DE10008109A1 (de) 2000-02-22 2001-08-23 Krauss Maffei Kunststofftech Verfahren und Vorrichtung zum Herstellen einer DVD
US6756165B2 (en) 2000-04-25 2004-06-29 Jsr Corporation Radiation sensitive resin composition for forming barrier ribs for an EL display element, barrier rib and EL display element
US6774183B1 (en) 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US7859519B2 (en) 2000-05-01 2010-12-28 Tulbert David J Human-machine interface
US6262464B1 (en) 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
EP2264524A3 (en) 2000-07-16 2011-11-30 The Board of Regents of The University of Texas System High-resolution overlay alignement methods and systems for imprint lithography
CN1262883C (zh) 2000-07-17 2006-07-05 得克萨斯州大学系统董事会 影印用于平版印刷工艺中的自动化液体分配的方法和系统
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
JP2002060529A (ja) 2000-08-22 2002-02-26 Fuji Photo Film Co Ltd 高密着ハードコートフィルム
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
AU2001297642A1 (en) 2000-10-12 2002-09-04 Board Of Regents, The University Of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6503914B1 (en) 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
KR20020047490A (ko) 2000-12-13 2002-06-22 윤종용 실리콘을 함유하는 감광성 폴리머 및 이를 포함하는레지스트 조성물
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
DE60213768T2 (de) 2001-01-25 2007-08-16 Sekisui Chemical Co., Ltd. Polyvinylacetal, polyvinylacetalzusammensetzung, tinte oder druckfarbe, beschichtungsmaterial, dispergiermittel, wärmeentwickelbares lichtempfindliches material, keramik-grünfolie, primer für kunststofflinse, aufzeichnungsmittel für wasserbasistinte oder druckfarbe und klebstoff für metallfolie
JP4176998B2 (ja) 2001-01-25 2008-11-05 積水化学工業株式会社 熱現像性感光材料、セラミックグリーンシート用スラリー及びセラミックグリーンシート
DE10103586A1 (de) 2001-01-26 2002-08-01 Roland Goebel Primer zur Bildung einer haftfesten und feuchtestabilen Legierungs-Kunststoff-Verbundschicht und Verfahren zu seiner Herstellung
WO2002069040A1 (en) 2001-02-27 2002-09-06 Shipley Company, Llc Novel polymers, processes for polymer synthesis and photoresist compositions
US20020123592A1 (en) 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6955767B2 (en) 2001-03-22 2005-10-18 Hewlett-Packard Development Company, Lp. Scanning probe based lithographic alignment
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6517977B2 (en) 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
KR100442859B1 (ko) 2001-04-04 2004-08-02 삼성전자주식회사 실리콘을 함유하는 알킬 비닐 에테르의 중합체로이루어지는 감광성 폴리머 및 이를 포함하는 레지스트조성물
EP1378796A4 (en) 2001-04-10 2004-07-14 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING ANTI-REFLECTIVE FILM FOR LITHOGRAPHIC PROCESS
US7011932B2 (en) 2001-05-01 2006-03-14 E. I. Du Pont De Nemours And Company Polymer waveguide fabrication process
US6964793B2 (en) 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6541356B2 (en) 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6737489B2 (en) 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6736857B2 (en) 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US6847433B2 (en) 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
US7049049B2 (en) 2001-06-27 2006-05-23 University Of South Florida Maskless photolithography for using photoreactive agents
US6610458B2 (en) 2001-07-23 2003-08-26 Kodak Polychrome Graphics Llc Method and system for direct-to-press imaging
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6678038B2 (en) 2001-08-03 2004-01-13 Nikon Corporation Apparatus and methods for detecting tool-induced shift in microlithography apparatus
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6721529B2 (en) 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6790905B2 (en) 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
WO2003064495A2 (en) 2001-11-07 2003-08-07 Dow Global Technologies Inc. Planarized microelectronic substrates
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6621960B2 (en) 2002-01-24 2003-09-16 Oplink Communications, Inc. Method of fabricating multiple superimposed fiber Bragg gratings
US6605849B1 (en) 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
US7309560B2 (en) 2002-02-19 2007-12-18 Nissan Chemical Industries, Ltd. Composition for forming anti-reflective coating
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
EP1342736B1 (en) 2002-02-28 2013-05-08 Merck Patent GmbH Prepolymer material, polymer material, imprinting process and their Use
JP2004002702A (ja) 2002-02-28 2004-01-08 Merck Patent Gmbh プレポリマー材料、ポリマー材料、インプリンティングプロセスおよびその使用
US7117583B2 (en) 2002-03-18 2006-10-10 International Business Machines Corporation Method and apparatus using a pre-patterned seed layer for providing an aligned coil for an inductive head structure
DE10217151A1 (de) 2002-04-17 2003-10-30 Clariant Gmbh Nanoimprint-Resist
US7037639B2 (en) 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6720076B2 (en) 2002-05-31 2004-04-13 Omnova Solutions Inc. In-mold primer coating for thermoplastic substrates
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
JP2004051706A (ja) 2002-07-17 2004-02-19 Fuji Photo Film Co Ltd ハードコート処理物品とその製造方法
US6916584B2 (en) 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
DE10237280A1 (de) 2002-08-14 2004-03-11 Micronas Holding Gmbh Verfahren zum Verbinden von Oberflächen, Halbleiter mit verbundenen Oberflächen sowie Bio-Chip und Bio-Sensor
US6808745B2 (en) 2002-08-22 2004-10-26 Eastman Kodak Company Method of coating micro-electromechanical devices
EP1546804A1 (en) 2002-08-27 2005-06-29 Obducat AB Device for transferring a pattern to an object
US6936194B2 (en) 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
DE60325629D1 (de) 2002-10-21 2009-02-12 Nanoink Inc Verfahren zur herstellung von strukturen im nanometerbereich zur anwendung im bereich der maskenreparatur
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7241823B2 (en) 2002-12-11 2007-07-10 Shin-Etsu Chemical Co., Ltd. Radiation curing silicone rubber composition, adhesive silicone elastomer film formed from same, semiconductor device using same, and method of producing semiconductor device
US20040112862A1 (en) 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US7452574B2 (en) 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20060108710A1 (en) 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
JP2005014348A (ja) 2003-06-25 2005-01-20 Fuji Photo Film Co Ltd 平版印刷版原版及び平版印刷方法
US20050084804A1 (en) 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US6958531B2 (en) 2003-11-14 2005-10-25 The Regents Of The University Of Michigan Multi-substrate package and method for assembling same
ATE501464T1 (de) * 2003-11-21 2011-03-15 Obducat Ab Nanoimprint lithographie in mehrschichtsystemem
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7229732B2 (en) 2004-08-04 2007-06-12 Xerox Corporation Imaging members with crosslinked polycarbonate in charge transport layer
JP4130668B2 (ja) 2004-08-05 2008-08-06 富士通株式会社 基体の加工方法
SG119379A1 (en) 2004-08-06 2006-02-28 Nippon Catalytic Chem Ind Resin composition method of its composition and cured formulation
US7309225B2 (en) 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7252862B2 (en) 2004-08-30 2007-08-07 Hewlett-Packard Development Company, L.P. Increasing adhesion in an imprinting procedure
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7163888B2 (en) 2004-11-22 2007-01-16 Motorola, Inc. Direct imprinting of etch barriers using step and flash imprint lithography
US20060145398A1 (en) 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
JP2006236474A (ja) 2005-02-24 2006-09-07 Tdk Corp 磁気記録媒体及び磁気記録再生装置
US20070059211A1 (en) 2005-03-11 2007-03-15 The College Of Wooster TNT sensor containing molecularly imprinted sol gel-derived films
JP2006277868A (ja) 2005-03-30 2006-10-12 Toshiba Corp ディスクリートトラック媒体およびその製造方法
JP4330650B2 (ja) 2005-06-03 2009-09-16 中 奥村 投薬支援プログラム
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US20070042173A1 (en) 2005-08-22 2007-02-22 Fuji Photo Film Co., Ltd. Antireflection film, manufacturing method thereof, and polarizing plate using the same, and image display device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7419611B2 (en) 2005-09-02 2008-09-02 International Business Machines Corporation Processes and materials for step and flash imprint lithography
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US20080110557A1 (en) 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
US8337959B2 (en) 2006-11-28 2012-12-25 Nanonex Corporation Method and apparatus to apply surface release coating for imprint mold
US9323143B2 (en) 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361546B2 (en) 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11520226B2 (en) 2017-05-12 2022-12-06 Canon Kabushiki Kaisha Imprint method, imprint apparatus, imprint system, and method of manufacturing article

Also Published As

Publication number Publication date
EP2136933A4 (en) 2011-01-26
KR101536217B1 (ko) 2015-07-14
KR20090128473A (ko) 2009-12-15
JP2010526426A (ja) 2010-07-29
CN101702886A (zh) 2010-05-05
TWI432892B (zh) 2014-04-01
US20070212494A1 (en) 2007-09-13
WO2008127835A1 (en) 2008-10-23
EP2136933A1 (en) 2009-12-30
TW200903146A (en) 2009-01-16
MY153677A (en) 2015-03-13
US8808808B2 (en) 2014-08-19
CN101702886B (zh) 2013-07-10

Similar Documents

Publication Publication Date Title
JP5399374B2 (ja) 接着プライマー層を利用するインプリント・リソグラフィーのための方法
JP5084728B2 (ja) 材料を相互に接着するための方法及び組成物
US7759407B2 (en) Composition for adhering materials together
KR101610185B1 (ko) 초박형 중합체 접착 층
US7122482B2 (en) Methods for fabricating patterned features utilizing imprint lithography
US9676123B2 (en) Flexible nanoimprint mold, method for fabricating the same, and mold usage on planar and curved substrate
US8415010B2 (en) Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US7452574B2 (en) Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040168613A1 (en) Composition and method to form a release layer
JP5218521B2 (ja) インプリント方法とこれに用いる転写基材および密着剤
US20110165412A1 (en) Adhesion layers in nanoimprint lithograhy
TWI495951B (zh) 超薄聚合性黏著層
CN1914265B (zh) 用于刻印平板印刷术的材料
Watts et al. Methods for fabricating patterned features utilizing imprint lithography

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120813

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120828

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20121126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121127

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121128

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20121128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130228

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130924

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131023

R150 Certificate of patent or registration of utility model

Ref document number: 5399374

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250