KR102605655B1 - 다층 구조물 - Google Patents

다층 구조물 Download PDF

Info

Publication number
KR102605655B1
KR102605655B1 KR1020197032823A KR20197032823A KR102605655B1 KR 102605655 B1 KR102605655 B1 KR 102605655B1 KR 1020197032823 A KR1020197032823 A KR 1020197032823A KR 20197032823 A KR20197032823 A KR 20197032823A KR 102605655 B1 KR102605655 B1 KR 102605655B1
Authority
KR
South Korea
Prior art keywords
dielectric layer
multilayer structure
substrate
layer
group
Prior art date
Application number
KR1020197032823A
Other languages
English (en)
Other versions
KR20200019603A (ko
Inventor
산제이 말릭
윌리엄 에이. 레이너스
Original Assignee
후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. filed Critical 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Publication of KR20200019603A publication Critical patent/KR20200019603A/ko
Application granted granted Critical
Publication of KR102605655B1 publication Critical patent/KR102605655B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3142Sealing arrangements between parts, e.g. adhesion promotors
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F130/00Homopolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal
    • C08F130/04Homopolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal
    • C08F130/08Homopolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and containing phosphorus, selenium, tellurium or a metal containing a metal containing silicon
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/102Esters of polyhydric alcohols or polyhydric phenols of dialcohols, e.g. ethylene glycol di(meth)acrylate or 1,4-butanediol dimethacrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F222/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a carboxyl radical and containing at least one other carboxyl radical in the molecule; Salts, anhydrides, esters, amides, imides, or nitriles thereof
    • C08F222/10Esters
    • C08F222/1006Esters of polyhydric alcohols or polyhydric phenols
    • C08F222/103Esters of polyhydric alcohols or polyhydric phenols of trialcohols, e.g. trimethylolpropane tri(meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F283/00Macromolecular compounds obtained by polymerising monomers on to polymers provided for in subclass C08G
    • C08F283/04Macromolecular compounds obtained by polymerising monomers on to polymers provided for in subclass C08G on to polycarbonamides, polyesteramides or polyimides
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/0666Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms
    • C08G73/0672Polycondensates containing five-membered rings, condensed with other rings, with nitrogen atoms as the only ring hetero atoms with only one nitrogen atom in the ring
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J7/00Chemical treatment or coating of shaped articles made of macromolecular substances
    • C08J7/04Coating
    • C08J7/042Coating with two or more layers, where at least one layer of a composition contains a polymer binder
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D179/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09D161/00 - C09D177/00
    • C09D179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09D179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • C09D4/06Organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond in combination with a macromolecular compound other than an unsaturated polymer of groups C09D159/00 - C09D187/00
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J4/00Adhesives based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; adhesives, based on monomers of macromolecular compounds of groups C09J183/00 - C09J183/16
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/145Organic substrates, e.g. plastic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • H01L23/49894Materials of the insulating layers or coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2333/00Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers
    • C08J2333/04Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers esters
    • C08J2333/06Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers esters of esters containing only carbon, hydrogen, and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C08J2333/08Homopolymers or copolymers of acrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2333/00Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers
    • C08J2333/04Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers esters
    • C08J2333/06Characterised by the use of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides, or nitriles thereof; Derivatives of such polymers esters of esters containing only carbon, hydrogen, and oxygen, the oxygen atom being present only as part of the carboxyl radical
    • C08J2333/10Homopolymers or copolymers of methacrylic acid esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2363/00Characterised by the use of epoxy resins; Derivatives of epoxy resins
    • C08J2363/02Polyglycidyl ethers of bis-phenols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08JWORKING-UP; GENERAL PROCESSES OF COMPOUNDING; AFTER-TREATMENT NOT COVERED BY SUBCLASSES C08B, C08C, C08F, C08G or C08H
    • C08J2379/00Characterised by the use of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen with or without oxygen, or carbon only, not provided for in groups C08J2361/00 - C08J2377/00
    • C08J2379/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C08J2379/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Laminated Bodies (AREA)
  • Materials For Photolithography (AREA)
  • Particle Formation And Scattering Control In Inkjet Printers (AREA)
  • Formation Of Insulating Films (AREA)
  • Graft Or Block Polymers (AREA)

Abstract

본 개시 내용은 기판(substrate); 기판 상에 증착된 결합 층(coupling layer); 및 결합 층 상에 증착된 유전체 층(dielectric layer)을 포함하는 다층 구조물(multilayer structure)에 관한 것으로, 여기에서 전단 강도는 결합 층이 없을 경우의 다층과 비교하여 결합 층의 존재시 적어도 약 2배 만큼 증가된다.

Description

다층 구조물
관련 출원에 대한 상호 참조
본 출원은 2017년 6월 16일에 출원된 미국 가출원 일련 번호 제62/520,850호에 대한 우선권을 주장하며, 그 내용은 전체가 본원에 참조로 포함되어 있다.
모바일 컴퓨팅 애플리케이션의 지속적인 확장은 더 작은 디바이스 풋프린트에서 점점 더 커지는 연산력 레벨의 패킹을 요구한다. 반도체 디바이스의 설계자는 새로운 디바이스 요건을 충족시키기 위해 다양한 새로운 칩 아키텍처(chip architecture)의 사용에 의존하고 있다. 이들 새로운 아키텍처는 구리 기둥(copper pillar)을 이용하는 플립 칩 웨이퍼 범핑(flip chip wafer bumping)뿐만 아니라, 웨이퍼가 얇아져 생성된 다이가 적층된 후 실리콘 관통 전극(through silicon vias, TSV) 및 2.5D 인터포저 설계에 의해 연결되는 3차원 집적 회로(three-dimensional integrated circuit, 3D IC)와 같은 TSV를 이용하는 접근법을 포함한다. 이들 접근법은 이러한 새로운 IC 아키텍처의 설계자뿐만 아니라, 이들 장치에 사용될 패키징 재료의 설계자에게도 중요한 도전 과제를 제기한다.
이러한 새롭고 진보적인 디바이스는 웨이퍼와 패널-레벨 패키징(WLP와 PLP) 및 3D 집적화에 크게 의존하고 있기 때문에, 패키징 애플리케이션에 대한 재료 요건은 계속해서 진화하고 있다. 지난 수년 동안 사용되어온 많은 전통적인 패키징 재료가 있지만, 폴리이미드는 그것의 우수한 전기적, 기계적 및 열적 특성으로 인해 반도체 패키징 애플리케이션용으로 선택되는 재료였다. 그러나 기존의 폴리이미드의 단점은 높은 경화 온도(> 350℃), 높은 경화 후 수축(post-cure shrinkage) 및 높은 수준의 수분 흡수를 포함한다. PI에 대한 높은 경화 온도 요건은 패널 제조에 사용되는 플라스틱 코어가 약 250℃보다 높은 온도를 견딜 수 없기 때문에, 패널-레벨의 제조를 위한 사용에 제한이 있다. 종래의 폴리이미드의 높은 수축은 높은 잔류 응력(residual stress)을 갖는 경화된 폴리이미드 필름을 유도하여 실리콘 웨이퍼의 구부러짐(bowing) 및 플라스틱 코어의 휨(warpage)을 유발한다. 3D 집적화를 이용하는 차세대 칩 아키텍처는 수직 집적화(vertical integration) 요건을 충족시키기 위해 실리콘 웨이퍼는 (가장 진보된 애플리케이션에서 20 μm 정도로) 박막화시킬 필요가 있다. 이러한 박막화된 웨이퍼는 매우 파손되기 쉽고, 사용되는 패키징 재료에서 과도한 잔류 응력은 치명적일 수 있다. 차세대 유전체 재료는 웨이퍼 또는 패널에 최소한의 응력을 주도록 설계되어야 한다. 이러한 이유로, 낮은 경화 온도와 낮은 경화 후 수축은 고급 패키징 재료의 중요한 요건이다. 차세대 유전체 재료에 요구되는 엄격한(demanding) 성능 요건(낮은 경화 온도, 낮은 수축, 높은 열 안정성, 낮은 수분 흡수, 낮은 잔류 응력, 우수한 접착력, 구리 양립성(copper compatibility), 높은 해상도(high resolution) 등)으로 인해 단일 재료가 이러한 (때로는 상충되는) 요구 사항을 모두 만족시키기가 점점 어려워지고 있다. 에폭시 몰딩 화합물(epoxy molded compound, EMC)과 같은 기판과의 우수한 접착력 및 양립성의 요건을 해결하기 위한 한 가지 접근 방법은 유전체 필름을 도포하기 전에 얇은 접착성 프라이머 층(primer layer)을 도포하는 것이다. 이 접근 방법에서, 결합 층(coupling layer)은 기판과 광영상화 유전체(photoimageable dielectric) 사이에 높은 접착 강도를 제공하는 한편, 유전체 필름은 필요한 기계 및 전기적 특성뿐만 아니라 높은 해상도를 제공한다.
일부 구현예에서, 본 개시 내용은 (예를 들어, 하단에서 상단으로) 기판; 기판 위에 결합 층(예를 들어, 기판 위에 증착되거나 또는 기판에 의해 지지됨); 및 결합 층 위에 유전체 층(예를 들어, 결합 층 위에 증착되거나 또는 결합 층에 의해 지지됨)을 포함하는 다층 구조물(multilayer structure)을 특징으로 하며, 여기에서 유전체 층의 전단 강도(shear strength)는 결합 층이 없는 다층 구조물과 비교하여 결합 층의 존재시 적어도 약 2배 만큼(예를 들어, 적어도 약 3배 만큼) 증가한다.
일부 구현예에서, 결합 층은 적어도 하나의 중합체, 적어도 하나의 가교제, 가교 반응을 유도할 수 있는 적어도 하나의 개시제 및 적어도 하나의 용매를 포함하는 조성물로부터 제조된다.
일부 구현예에서, 유전체 층은 폴리이미드, 폴리벤즈옥사졸, (메트)아크릴레이트 중합체, 에폭시 중합체, 폴리우레탄, 폴리아미드, 폴리에스테르, 폴리에테르, 노볼락 수지, 벤조사이클로부텐 수지, 폴리스티렌 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 적어도 하나의 중합체를 함유한다. 일부 구현예에서, 유전체 층은 폴리이미드 및/또는 (메트)아크릴레이트 중합체를 포함할 수 있다.
이 개시 내용의 일부 구현예는 본원에 기술된 다층 구조물을 제조하기 위한 공정을 포함한다. 이 공정은 (a) 결합 층을 형성하는 조성물로 기판을 코팅하여 제1 코팅 기판을 형성하는 단계; 및 (b) 제1 코팅 기판을 유전체 층을 형성하는 조성물로 코팅하는 단계를 포함할 수 있다.
일부 구현예에서, 결합 층은 제1 코팅 기판을 베이킹(baking)하거나 광원에 노출시키는 단계를 거치게 함으로써 가교된다.
일부 구현예에서, 유전체 층은 유전체 층을 베이킹하거나 광원에 노출시키는 단계를 거치게 함으로써 가교된다.
일반 정의
본원에 사용된 바와 같이, "유전체 층(dielectric layer)"은 1 kHz 내지 100 GHz의 주파수에서 측정할 때 2 내지 5의 유전 상수(dielectric constant)를 갖는 층을 말한다. 본원에 사용된 바와 같이, "완전히 이미드화된(fully imidized)"이라는 용어는 이 개시 내용의 폴리이미드 중합체가 적어도 약 90%(예를 들어, 적어도 약 95%, 적어도 약 98%, 적어도 약 99% 또는 약 100%) 이미드화된 것을 의미한다.
발명의 상세한 설명
일부 구현예에서, 본 개시 내용은 (예를 들어, 하단에서 상단으로) 기판; 기판 위에 증착된 결합 층; 및 결합 층 위에 증착된 유전체 층을 포함하는 다층 구조물을 특징으로 하며, 여기에서 유전체 층의 전단 강도는 결합 층이 없는 다층 구조물과 비교하여 결합 층의 존재시 적어도 약 2배(예를 들어, 적어도 약 3배 또는 적어도 약 5배) 및/또는 최대 약 10배(예를 들어, 최대 약 8배 또는 최대 약 6배) 만큼 증가한다. 본원에 사용된 바와 같이, 유전체 층의 전단 강도는 기판과 유전체 층 사이의 전단 강도(결합 층이 없는 경우) 또는 전체로서 기판과 유전체 및 결합 층 사이의 전단 강도(결합 층이 존재하는 경우)를 말한다.
일부 구현예에서, 결합 층은 기판 및/또는 유전체 층과 적어도 약 3 Kcal/몰의 강도를 갖는 결합을 형성할 수 있다. 예를 들어, 결합은 적어도 약 3 Kcal/몰(예를 들어, 적어도 약 6 Kcal/몰, 적어도 약 50 Kcal/몰 또는 적어도 약 85 Kcal/몰) 및/또는 최대 약 600 Kcal/몰의 강도를 가질 수 있다. 일부 구현예에서, 결합은 약 2 내지 약 4 Kcal/몰(반데르 발스 힘), 약 5 내지 약 10 Kcal/몰(수소 결합), 약 10 내지 약 50 Kcal/몰(분자 사이의 쌍극자 인력), 약 50 내지 약 120 Kcal/몰(단일 공유 결합) 또는 약 120 내지 약 950 Kcal/몰(이온 결합)의 강도를 가질 수 있다.
일부 구현예에서, 기판은 에폭시 몰딩 화합물(epoxy molded compound, EMC)을 포함한다. 일부 구현예에서, 기판(예를 들어, EMC 기판)은 상이한 설계 규칙, MEMS, 개별형 수동소자(discrete passive) 및 전기 광학 디바이스 등에 의해 제조되는 상이한 치수를 갖는 기능성 실리콘 칩과 같은 능동 및/또는 수동 내장 반도체 디바이스를 포함한다. 일부 구현예에서, 다층 구조물은 기판의 표면에 적어도 하나의 패턴화된 금속 구조물(예를 들어, 재배선 층(redistribution layer, RDL))을 추가로 포함한다. 일부 구현예에서, 패턴화된 금속 구조물은 구리를 포함할 수 있다. 일부 구현예에서, 결합 층의 적어도 일부는 기판의 패턴화된 금속 구조물의 적어도 일부상에 증착될 수 있다. 이러한 금속 구조물은 집적 회로를 제조하는 데 유용할 수 있다.
일부 구현예에서, 결합 층은 적어도 하나의 중합체, 적어도 하나의 가교제, 가교 반응을 유도할 수 있는 적어도 하나의 개시제 및 적어도 하나의 용매를 함유하는 조성물로부터 제조된다.
일부 구현예에서, 결합 층을 제조하기 위해 사용될 수 있는 적어도 하나의 중합체는 적어도 하나의 (메트)아크릴레이트 중합체를 포함할 수 있다. 본원에 사용된 바와 같이, "(메트)아크릴레이트 중합체"라는 용어는 메타크릴레이트 중합체와 아크릴레이트 중합체 둘 모두를 포함하며, 동종 중합체(homopolymer)와 공중합체(copolymer) 둘 모두를 포함한다. 적합한 (메트)아크릴레이트 중합체의 예로는 폴리(N,N-디메틸아미노 에틸 아크릴레이트), 폴리(벤질 메타크릴레이트), 폴리(부틸 메타크릴레이트), 폴리(tert-부틸 메타크릴레이트), 폴리(부틸 메타크릴레이트- co-이소부틸 메타크릴레이트), 폴리(부틸 메타크릴레이트-co-메틸 메타크릴레이트), 폴리(사이클로헥실 메타크릴레이트), 폴리(2-에틸헥실 메타크릴레이트), 폴리(에틸 메타크릴레이트), 폴리(헥사데실 메타크릴레이트), 폴리(헥실 메타크릴레이트), 폴리(이소부틸 메타크릴레이트), 폴리(이소프로필 메타크릴레이트), 폴리(라우릴 메타크릴레이트-co-에틸렌 글리콜 디메타크릴레이트), 폴리(메틸 메타크릴레이트), 폴리(메틸 메타크릴레이트-co-에틸 아크릴레이트), 폴리(메틸 메타크릴레이트-co-에틸렌 글리콜 디메타크릴레이트), 폴리(옥타데실 메타크릴레이트), 폴리(테트라로푸르푸릴 메타크릴레이트), 폴리(테트라하이드로푸르푸릴 메타크릴레이트-co-에틸 메타크릴레이트), 폴리(부틸 아크릴레이트), 폴리(에틸 아크릴레이트), 폴리(2-에틸헥실 아크릴레이트) 및 폴리(메틸 아크릴레이트)를 포함하지만, 이에 한정되지 않는다.
일부 구현예에서, 중합체의 양은 다층 구조물의 결합 층의 전체 중량의 적어도 약 35 중량%(예를 들어, 적어도 약 40 중량%, 적어도 약 45 중량%, 적어도 약 50 중량%, 적어도 약 55 중량% 또는 적어도 약 60 중량%) 및/또는 최대 약 90 중량%(예를 들어, 최대 약 85 중량%, 최대 약 80 중량%, 최대 약 75 중량%, 최대 약 70 중량% 또는 최대 약 65 중량%)이다.
일부 구현예에서, 가교제는 비닐기, 알릴기, 비닐 에테르기, 프로페닐 에테르기, (메트)아크릴로일기, SiH 기 및 티올기로 이루어지는 군으로부터 선택되는 적어도 하나의 작용기를 포함한다.
일부 구현예에서, 가교제는 1,6-헥산디올 디(메트)아크릴레이트, 테트라에틸렌글리콜 디(메트)아크릴레이트, 1,12-도데칸디올 디(메트)아크릴레이트, 1,3-부틸렌 글리콜 디(메트)아크릴레이트, 1,4-부탄디올 디(메트)아크릴레이트, 사이클로헥산 디메탄올 디(메트)아크릴레이트, 폴리에틸렌 글리콜 디(메트)아크릴레이트, 프로폭실화 (3) 글리세롤 트리(메트)아크릴레이트, 디비닐벤젠, 에톡실화 비스페놀-A-디(메트)아크릴레이트, 디에틸렌 글리콜 비스(알릴 카보네이트), 트리메틸올프로판 트리(메트)아크릴레이트, 디트리메틸올프로판 테트라(메트)아크릴레이트, 펜타에리스리톨 트리(메트)아크릴레이트, 펜타에리스리톨 테트라(메트)아크릴레이트, 디펜타에리스리톨 펜타-/헥사-(메트)아크릴레이트, 이소시아누레이트 트리(메트)아크릴레이트, 비스(2-하이드록시에틸)-이소시아누레이트 디(메트)아크릴레이트, 1,3-부탄디올 트리(메트)아크릴레이트, 1,4-부탄디올 트리(메트)아크릴레이트, 메틸 (메트)아크릴레이트, 부틸 (메트)아크릴레이트, 사이클로헥실 (메트)아크릴레이트, 벤질 (메트)아크릴레이트, 네오펜틸 글리콜 디(메트)아크릴레이트, (메트)아크릴레이트 변성-요소-포름알데하이드 수지, (메트)아크릴레이트 변성 멜라민-포름알데하이드 수지 및 (메트)아크릴레이트 변성 셀룰로오스로 이루어지는 군으로부터 선택된다.
일 구현예에서, 가교제의 적합한 예는 우레탄 아크릴레이트 올리고머를 포함하지만, 이에 한정되지 않는다. 우레탄 아크릴레이트 올리고머라는 용어는 우레탄 결합을 함유하며, 우레탄 멀티(메트)아크릴레이트, 멀티우레탄 (메트)아크릴레이트 및 멀티우레탄 멀티(메트)아크릴레이트와 같은 (메트)아크릴레이트(예를 들어, 아크릴레이트 또는 메타크릴레이트) 작용기를 갖는 화합물의 종류를 말한다. 우레탄 (메트)아크릴레이트 올리고머의 유형은 예를 들어, 그 내용이 본원에 참조로 포함되어 있는 Coady 등의 미국 특허 제4,608,409호 및 Chisholm 등의 미국 특허 제6,844,950호에 의해 기술되어 있다.
티올기를 함유하는 가교제의 예는 트리메틸올프로판 트리스(메르캅토아세테이트), 펜타에리스리톨 테트라키스(2-메르캅토아세테이트), 디펜타에리스리톨 헥사키스(3-메르캅토프로피오네이트) 및 에톡실화 트리메틸올프로판 트리스-3-메르캅토프로피오네이트를 포함하지만, 이에 한정되지 않는다. 비닐 에테르기를 함유하는 가교제의 예는 1,4-부탄디올 디비닐 에테르, 1,6-헥산디올 디비닐 에테르, 1,4-사이클로헥산디메탄올 디비닐 에테르, 디(에틸렌 글리콜) 비닐 에테르, 폴리(에틸렌 글리콜) 디비닐 에테르 및 비스[4-(비닐옥시)부틸](4-메틸-1,3-페닐렌)비스카바메이트를 포함하지만, 이에 한정되지 않는다. SiH 기를 함유하는 가교제의 한 가지 예는 Hybrid Plastics사로부터 입수 가능한 옥타실란 POSS® SH1310이다.
일부 구현예에서, 가교제의 양은 다층 구조물의 결합 층의 전체 중량의 적어도 약 5 중량%(예를 들어, 적어도 약 10 중량%, 적어도 약 15 중량%, 적어도 약 20 중량% 또는 적어도 약 25 중량%) 및/또는 최대 약 50 중량%(예를 들어, 최대 약 45 중량%, 최대 약 40 중량%, 최대 약 35 중량% 또는 최대 약 30 중량%)이다.
(예를 들어, 고 에너지 방사선(광개시제(photoinitiator)로도 알려져 있음)에 노출시 자유 라디칼을 생성함으로써) 가교 반응을 유도할 수 있는 개시제의 구체적인 예는 NCI-831(ADEKA Corp.에서 입수 가능함), 1,8-옥탄디온, 1,8-비스[9-(2-에틸헥실)-6-니트로-9H-카바졸-3-일]-1,8-비스(O-아세틸옥심), 2-하이드록시-2-메틸-1-페닐프로판-1-온, 1-하이드록시사이클로헥실 페닐 케톤(BASF의 Irgacure 184), 1-하이드록시사이클로헥실페닐케톤과 벤조페논의 블렌드(blend)(BASF의 Irgacure 500), 2,4,4-트리메틸펜틸 포스핀 산화물(BASF의 Irgacure 1800, 1850 및 1700), 2,2-디메톡시-2-아세토페논(BASF의 Irgacure 651), 비스(2,4,6-트리메틸 벤조일)페닐 포스핀 산화물(BASF의 Irgacure 819), 2-메틸-1-[4-(메틸티오)페닐]-2-모폴리노프로판-1-온(BASF의 Irgacure 907), (2,4,6-트리메틸벤조일)디페닐 포스핀 산화물(BASF의 Lucerin TPO), 에톡시(2,4,6-트리메틸벤조일)페닐 포스핀 산화물(BASF의 Lucerin TPO-L), 2-(벤조일옥시이미노)-1-[4-(페닐티오)페닐]-1-옥탄온(BASF에서 입수 가능한 OXE-01), 1-(O-아세틸옥심)-1-[9-에틸-6-(2-메틸벤조일)-9H-카바졸-3-일]에탄온(BASF에서 입수 가능한 OXE-02), 포스핀 산화물, 하이드록시 케톤 및 벤조페논 유도체의 블렌드(Sartomer의 ESACURE KTO46), 2-하이드록시-2-메틸-1-페닐프로판-1-온(Merck의 Darocur 1173), 벤조페논, 2-클로로티오크산톤, 2-메틸티오크산톤, 2-이소프로필티오크산톤, 벤조디메틸 케탈, 1,1,1-트리클로로아세토페논, 디에톡시아세토페논, m-클로로아세토페논, 프로피오페논, 안트라퀴논, 디벤조수베론 등을 포함하지만, 이에 한정되지 않는다.
비이온형(nonionic-type) 광개시제의 구체적인 예는 (5-톨루일설포닐옥시이미노-5H-티오펜-2-일리덴)-2-메틸페닐-아세토니트릴(BASF의 Irgacure 121), 페나실 p-메틸벤젠설포네이트, 벤조인 p-톨루엔설포네이트, (p-톨루엔-설포닐옥시)메틸벤조인, 3-(p-톨루엔설포닐옥시)-2-하이드록시-2-페닐-1-페닐프로필 에테르, N-(p-도데실벤젠설포닐옥시)-1,8-나프탈이미드, N-(페닐-설포닐옥시)-1,8-나프탈이미드, 비스(사이클로헥실설포닐)디아조메탄, 1-p-톨루엔설포닐-1-사이클로헥실카보닐디아조메탄, 2-니트로벤질 p-톨루엔설포네이트, 2,6-디니트로벤질 p-톨루엔설포네이트 및 2,4-디니트로벤질 p-트리플루오로메틸벤젠설포네이트 등을 포함한다.
가교 반응이 열에 의해 개시되는 구현예에서, 사용되는 촉매는 열 개시제이며, 여기에서 열 개시제는 약 70℃ 내지 약 250℃의 온도에 노출시 자유 라디칼(free radical)을 생성할 수 있는 화합물이다.
열 개시제의 구체적인 예는 벤조일 과산화물, 사이클로헥산온 과산화물, 라우로일 과산화물, tert-아밀 과산화벤조에이트, tert-부틸 하이드로과산화물, 디큐밀 과산화물, 쿠멘 하이드로과산화물, 숙신산 과산화물, 디(n-프로필) 과산화디카보네이트, 2,2-아조비스(이소부티로니트릴), 2,2-아조비스(2,4-디메틸발레로니트릴), 디메틸-2,2-아조비스이소부티레이트, 4,4-아조비스(4-시아노펜탄산), 아조비스사이클로헥산카보니트릴, 2,2-아조비스(2-메틸부티로니트릴) 등을 포함하지만, 이에 한정되지 않는다.
일부 구현예에서, 결합 층을 형성하는 조성물에 둘 이상의 개시제의 조합이 사용될 수 있다. 조합은 모든 열 개시제, 모든 광 개시제 또는 열 개시제와 광 개시제의 조합일 수 있다.
일부 구현예에서, 개시제의 양은 다층 구조물의 결합 층의 전체 중량의 적어도 약 0.1 중량%(예를 들어, 적어도 약 0.5 중량%, 적어도 약 1.0 중량%, 적어도 약 2.0 중량%, 적어도 약 3.0 중량% 또는 적어도 약 4.0 중량%) 및/또는 최대 약 10.0 중량%(예를 들어, 최대 약 9.0 중량%, 최대 약 8.0 중량%, 최대 약 7.0 중량%, 최대 약 6.0 중량% 또는 최대 약 0.50 중량%)이다.
일반적으로, 결합 층을 형성하기 위해 사용되는 조성물에 유용한 적합한 용매는 조성물의 모든 성분을 용해 또는 분산시켜 균질한 혼합물을 형성할 수 있어야 한다. 적합한 용매의 선택은 또한 임의의 공지된 방법에 의해 증착되고 균질한 필름을 생성하도록 하는 균질한 용액의 능력에 기초할 수 있다. 적합한 용매의 선택은 또한 필름 내의 잔류 용매의 양이 필름 전체 중량의 약 10% 미만(예를 들어, 약 9% 미만, 약 8% 미만, 약 7% 미만, 약 6% 미만, 약 5% 미만, 약 4% 미만, 약 3% 미만, 약 2% 미만 또는 약 1% 미만)이 되도록 작동 온도 범위(예를 들어, 70℃ 내지 200℃)에서 필름으로부터 용매가 비등하는 능력에 의존할 수 있다. 용매의 비제한적인 예는 테트라하이드로퓨란(tetrahydrofuran, THF), 테트라하이드로푸르푸릴 알코올(tetrahydrofurfuryl alcohol, THFA), 프로필렌 글리콜 메틸 에테르 아세테이트(propylene glycol methyl ether acetate, PGMEA), 프로필렌 글리콜 메틸 에테르(propylene glycol methyl ether, PGME), 메틸 에틸 케톤(methyl ethyl ketone, MEK), 메틸 이소부틸 케톤(methyl isobutyl ketone, MIBK) 및 사이클로펜탄온(cyclopentanone, CP)을 포함한다.
일부 구현예에서, 유전체 층은 적어도 하나의 결합제(예를 들어, 중합체)를 포함할 수 있다. 일부 구현예에서, 유전체 층의 결합제는 폴리이미드, 폴리벤즈옥사졸, (메트)아크릴레이트 중합체, 에폭시 중합체, 폴리우레탄, 폴리아미드, 폴리에스테르, 폴리에테르, 노볼락 수지, 벤조사이클로부텐 수지, 폴리스티렌 및 이들의 혼합물로 이루어지는 군으로부터 선택된다. 일부 구현예에서, 유전체 층은 폴리이미드 및/또는 (메트)아크릴레이트 중합체를 포함할 수 있다. 유전체 층은 감광성 층 또는 비감광성 층일 수 있다.
일부 구현예에서, 적어도 하나의 결합제는 적어도 하나의 완전히 이미드화된 폴리이미드 또는 그 전구체(precursor)이다.
일부 구현예에서, 유전체 층에 존재하는 적어도 하나의 완전히 이미드화된 폴리이미드 중합체는 적어도 약 180℃(예를 들어, 적어도 약 190℃, 적어도 약 200℃, 적어도 약 210℃ 또는 적어도 약 220℃) 및/또는 최대 약 300℃(예를 들어, 최대 약 290℃, 최대 약 280℃, 최대 약 270℃, 최대 약 260℃ 또는 최대 약 250℃)의 유리 전이 온도(glass transition temperature)를 갖는다.
완전히 이미드화된 가용성 폴리이미드 중합체를 합성하는 방법은 당업자에게 잘 알려져 있다. 이러한 방법의 예는 예를 들어, US3856752, US4026876, US4629777, US4960860, US5122436, US5478915, US5969055, US7141614, US2004/0235992, 및 US9617386에 개시되어 있으며, 그 내용은 본원에 참조로 포함되어 있다.
폴리이미드를 형성하기 위한 이미드화는 이미드 고리 구조에 기인하는 1770 및 1700 cm-1의 적외선 스펙트럼(infrared spectrum)에서 특징적인 흡수를 관찰함으로써 확인될 수 있다.
일부 구현예에서, 유전체 층을 제조하기 위해 사용될 수 있는 적어도 하나의 결합제는 적어도 하나의 폴리벤즈옥사졸 중합체 또는 그 전구체를 포함할 수 있다. 폴리벤즈옥사졸 중합체 또는 그 전구체를 합성하는 방법은 당업자에게 잘 알려져 있다. 이러한 방법의 예는, 예를 들어, US4339521, US4395482, US4849051, US5104733, US5376499, US5688631, US5696218, US5750638, US5760162, US5777066, US5883221, US6177225, US6127086, US6235436, US6376151, US6939659, US7101652, 및 US8198002에 개시되어 있으며, 그 내용은 본원에 참조로 포함되어 있다.
일부 구현예에서, 유전체 층을 제조하기 위해 사용될 수 있는 적어도 하나의 결합제는 적어도 하나의 (메트)아크릴레이트 중합체를 포함할 수 있다. 적합한 (메트)아크릴레이트 중합체의 예는 폴리(벤질 메타크릴레이트), 폴리(부틸 메타크릴레이트), 폴리(tert-부틸 메타크릴레이트), 폴리(부틸 메타크릴레이트-co-이소부틸 메타크릴레이트), 폴리(부틸 메타크릴레이트-co-메틸 메타크릴레이트), 폴리(사이클로헥실 메타크릴레이트), 폴리(2-에틸헥실 메타크릴레이트), 폴리(에틸 메타크릴레이트), 폴리(헥사데실 메타크릴레이트), 폴리(헥실 메타크릴레이트), 폴리(이소부틸 메타크릴레이트), 폴리(이소프로필 메타크릴레이트), 폴리(라우릴 메타크릴레이트-co-에틸렌 글리콜 디메타크릴레이트), 폴리(메틸 메타크릴레이트), 폴리(메틸 메타크릴레이트-co-에틸 아크릴레이트), 폴리(메틸 메타크릴레이트-co-에틸렌 글리콜 디메타크릴레이트), 폴리(옥타데실 메타크릴레이트), 폴리(테트라하이드로푸르푸릴 메타크릴레이트), 폴리(테트라하이드로푸르푸릴 메타크릴레이트-co-에틸 메타크릴레이트), 폴리(부틸 아크릴레이트), 폴리(에틸 아크릴레이트), 폴리(2-에틸헥실 아크릴레이트) 및 폴리(메틸 아크릴레이트)를 포함하지만, 이에 한정되지 않는다.
일부 구현예에서, 유전체 층을 제조하기 위해 사용될 수 있는 적어도 하나의 결합제는 적어도 하나의 에폭시 중합체를 포함할 수 있다. 적합한 에폭시 중합체의 예는 비스페놀 A 에폭시 중합체, 비스페놀 F 에폭시 중합체, 노볼락 에폭시 중합체, 지방족 에폭시 중합체 및 글리시딜아민 에폭시 중합체를 포함하지만, 이에 한정되지 않는다.
일부 구현예에서, 유전체 층을 제조하기 위해 사용될 수 있는 적어도 하나의 결합제는 적어도 하나의 우레탄 모이어티(moiety)(예를 들어, 하나 이상의 우레탄 반복 단위), 적어도 하나의 아미드 모이어티(예를 들어, 하나 이상의 아미드 반복 단위), 적어도 하나의 에스테르 모이어티(예를 들어, 하나 이상의 에스테르 반복 단위), 적어도 하나의 에테르 모이어티(예를 들어, 하나 이상의 에테르 반복 단위), 적어도 하나의 탄화수소 모이어티(예를 들어, 하나 이상의 탄화수소 반복 단위) 또는 이들의 혼합물을 함유하는 중합체를 포함할 수 있다. 적어도 하나의 에테르 모이어티를 함유하는 중합체의 예는 폴리에틸렌 글리콜(polyethylene glycol, PEG), 폴리프로필렌 글리콜(polypropylene glycol, PPG), 폴리(메틸 비닐 에테르), 폴리(메틸 비닐 에테르-알트-말레산 무수물) 및 폴리테트라메틸렌 글리콜(PTMG)을 포함하지만, 이에 한정되지 않는다. 적어도 하나의 탄화수소 모이어티를 함유하는 중합체의 예는 노볼락 및 폴리스티렌을 포함하지만, 이에 한정되지 않는다.
일부 구현예에서, 유전체 층은 감광성(photosensitive)이다. 일부 구현예에서, 유전체 층은 비감광성이다. 일부 구현예에서, 유전체 층은 적어도 하나의 완전히 이미드화된 폴리이미드 중합체; 적어도 하나의 가교제 및 적어도 하나의 개시제(예를 들어, 광개시제)를 포함하는 감광성 층이다.
가교제와 개시제의 설명은 결합 층에 대하여 이 출원에서 앞서 제공한 것과 동일할 수 있다. 이러한 조성물은 예를 들어, 특허 출원 번호 US2014/0343199, US2015/0219990, US2016/0313641, 및 US2016/0313642에 또한 개시되어 있으며, 그 내용은 본원에 참조로 포함되어 있다.
이 개시 내용의 유전체 층 조성물은 접착 촉진제(adhesion promoter), 충전제(filler), 계면 활성제(surfactant), 가소제(plasticizer), 착색제(colorant) 및 염료(dye)와 같은 다른 성분을 선택적으로 포함할 수 있다.
이 개시 내용의 일부 구현예는 본원에 기술된 다층 구조물을 제조하기 위한 공정을 포함한다. 이 공정은 (a) 결합 층을 형성하는 조성물로 기판을 코팅하여 제1 코팅 기판을 형성하는 단계; 및 (b) 제1 코팅 기판을 유전체 층을 형성하는 조성물로 코팅하는 단계를 포함할 수 있다.
일부 구현예에서, 이 공정은 결합 층 및/또는 유전체 층을 (예를 들어, 제1 코팅 기판을 베이킹하거나 광원에 노출시키는 단계를 거치게 함으로써) 가교시키는 단계를 추가로 포함할 수 있다.
일부 구현예에서, 이 공정은 (예를 들어, 리소그래피 공정, 레이저 어블레이션(laser ablation) 공정 및 플라스마 에칭 공정으로 이루어지는 군으로부터 선택되는 공정에 의해) 유전체 층을 추가로 패터닝(patterning) 할 수 있다.
일부 구현예에서, 결합 층과 유전체 층은 스핀 코팅(spin coating), 슬릿 코팅(slit coating), 스프레이 코팅(spray coating), 딥 코팅(dip coating), 나이프 또는 블레이드 코팅(knife or blade coating), 돌트-다이 코팅(dolt-die coating), 라미네이션(lamination), 건식 필름 라미네이션(dry film lamination) 및 잉크 제팅(ink-jetting)을 포함하지만, 이에 제한되지 않는 임의의 적합한 방법에 의해 형성될 수 있다. 당업자는 주어진 적용에 어떠한 코팅 방법이 적합한지 알 것이다.
일부 구현예에서, 베이킹 단계는 고정된 온도에서 핫플레이트 상의 접촉 또는 근접 가열(proximity heating)을 사용하거나, 또는 1 ~ 20℃/분의 속도로 온도를 상승시킴으로써 수행될 수 있다. 일부 구현예에서, 베이킹 단계는 고정된 온도의 오븐에서 수행되거나, 또는 진공 하 또는 대기압에서 1 ~ 20℃/분의 속도로 온도를 상승시킴으로써 수행될 수 있다. 사용되는 베이킹 방법에 관계없이, 베이킹 단계는 단일 단계 또는 여러 단계로 수행될 수 있다. 적합한 베이킹 수단의 예는 핫플레이트, 적외선 램프, 대류 오븐(convection oven) 및 잉크젯 프린팅 헤드 상의 열 가열 요소를 포함하지만, 이에 한정되지 않는다. 당업자는 주어진 적용에 어떠한 베이킹 방법이 적합한지 알 것이다.
결합 층의 두께는 바람직하게는 적어도 약 50 nm(예를 들어, 적어도 약 100 nm, 적어도 약 200 nm, 적어도 약 300 nm 또는 적어도 약 400 nm) 및/또는 최대 약 1000 nm(예를 들어, 최대 약 900 nm, 최대 약 800 nm, 최대 약 700 nm 또는 최대 약 600 nm)이다. 본 개시 내용의 다층 구조물의 유전체 층의 두께는 특별히 한정되지 않는다. 두께는 바람직하게는 적어도 약 5 미크론(예를 들어, 적어도 약 10 미크론, 적어도 약 20 미크론, 적어도 약 35 미크론, 적어도 약 50 미크론 또는 적어도 약 75 미크론) 및/또는 최대 약 250 미크론(예를 들어, 최대 약 200 미크론, 최대 약 150 미크론, 최대 약 125 미크론 또는 최대 약 100 미크론)이다.
일부 구현예에서, 본 개시 내용은 (예를 들어, 하단에서 상단으로) 기판; 결합 층; 및 결합 층이 기판과 유전체 층 사이에 있고, 결합 층이 적어도 약 2배 만큼(예를 들어, 적어도 약 3배 만큼) 기판과의 전단 강도를 증가시키도록 유전체 층을 포함하는 다층 구조물을 특징으로 하며, 여기에서 유전체 층은 패턴화된 유전체 층이다. 유전체 층 상의 패턴은 리소그래피 공정, 레이저 어블레이션 공정 또는 플라스마 에칭 공정과 같은 임의의 공지된 기술에 의해 형성될 수 있다.
일부 구현예에서, 다층 구조물의 유전체 층은 최대 약 250 미크론(예를 들어, 최대 약 225 미크론, 최대 약 200 미크론, 최대 약 180 미크론, 최대 약 160 미크론, 최대 약 140 미크론, 최대 약 120 미크론, 최대 약 100 미크론, 최대 약 80 미크론, 최대 약 60 미크론, 최대 약 40 미크론, 최대 약 20 미크론, 최대 약 15 미크론, 최대 약 10 미크론, 최대 약 8 미크론, 최대 약 5 미크론, 최대 약 4 미크론, 최대 약 3 미크론 또는 최대 약 2 미크론) 및/또는 적어도 약 0.1 미크론(예를 들어, 적어도 약 0.5 미크론 또는 적어도 약 1 미크론)의 피처(feature) 크기를 갖는 적어도 하나의 요소를 포함하는 패턴화된 층(patterned layer)(예를 들어, 릴리프 이미지(relief image)를 포함)을 형성할 수 있다.
일부 구현예에서, 유전체 층이 감광성인 경우, 유전체 층은 리소그래피 공정에 의해 패턴화될 수 있다. 이러한 경우에, 유전체 층은 화학 방사선(actinic radiation)에 노출될 수 있다. 노출 단계는 광, 또는 다른 화학 방사선(예를 들어, 자외선, 가시광선, 전자빔 방사선 또는 X-선)을 사용하여 완료될 수 있다. 일부 구현예에서, i-라인(365 nm), h-라인(405 nm) 또는 g-라인(436 nm) UV 광이 이용된다. 일반적으로, 노출 단계는 노출되는 영역의 용해 속도(dissolution rate)를 변화시킬 수 있다. 당업자는 주어진 적용에 어떠한 유형의 고 에너지 방사선이 적합한지 알 것이다.
일부 구현예에서, 유전체 층이 네거티브 톤(negative tone) 감광성 조성물로부터 형성되는 경우, 유전체 층의 노출 후, 노출되지 않은 부분은 패턴을 형성하기 위해 현상액(developer)을 사용함으로써 제거될 수 있다. 일부 구현예에서, 현상액은 유기 용매 또는 유기 용매의 혼합물을 포함한다. 현상액의 적합한 예는 감마-부티로락톤(gamma-butyrolactone, GBL), N-메틸-2-피롤리돈(N-methyl-2-pyrrolidone, NMP), 디메틸이미다졸리디논, N-메틸카프로락탐, N-메틸프로피온아미드, N,N-디메틸아세트아미드(N,N-dimethylacetamide, DMAc), 디메틸 설폭시드(dimethyl sulfoxide, DMSO), N,N-디메틸포름아미드(N,N-dimethylformamide, DMF), N,N-디에틸포름아미드, 디에틸아세트아미드, 메틸 에틸 케톤(methyl ethyl ketone, MEK), 메틸 이소부틸 케톤(methyl isobutyl ketone, MIBK), 2-헵탄온, 사이클로펜탄온(cyclopentanone, CP), 사이클로헥산온, n-부틸 아세테이트(n-butyl acetate, nBA), 프로필렌 글리콜 메틸 에테르 아세테이트(propylene glycol methyl ether acetate, PGMEA), 프로필렌 글리콜 메틸 에테르(propylene glycol methyl ether, PGME), 에틸 락테이트(ethyl lactate, EL), 프로필 락테이트, 3-메틸-3-메톡시부탄올, 테트랄린, 이소포론, 에틸렌 글리콜 모노부틸 에테르, 디에틸렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 디메틸 에테르, 디에틸렌 글리콜 메틸에틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르, 디프로필렌 글리콜 모노메틸 에테르, 메틸 3-메톡시프로피오네이트, 에틸 3-에톡시프로피오네이트, 디에틸 말로네이트, 에틸렌 글리콜 1,4:3,6-디안하이드로소르비톨 2,5-디메틸 에테르(2,5-디메틸이소소르비드), 1,4:3,6-디안하이드로소르비톨 2,5-디에틸 에테르(2,5-디에틸이소소르비드) 및 이들의 혼합물을 포함하지만, 이에 한정되지 않는다. 일부 구현예에서, 현상액은 감마-부티로락톤(GBL), 사이클로펜탄온(CP), 사이클로헥산온, 2,5-디메틸 에테르(2,5-디메틸이소소르비드), 에틸 락테이트(EL), n-부틸 아세테이트(nBA) 및 디메틸설폭시드(DMSO)이다. 일부 구현예에서, 현상액은 감마-부티로락톤(GBL), 사이클로펜탄온(CP) 및 사이클로헥산온이다. 이들 현상액은 개별적으로 또는 둘 이상의 조합으로 사용되어 특정 조성 및 리소그래피 공정을 위한 릴리프 이미지 품질을 최적화할 수 있다.
일부 구현예에서, 수성 현상액이 사용될 수 있다. 수성 현상액의 예는 수 중(in water) 수산화 테트라메틸 암모늄(tetramethyl ammonium hydroxide, TMAH), 수산화칼륨, 수산화나트륨 또는 탄산 나트륨의 용액을 포함하지만, 이에 한정되지 않는다. 일부 구현예에서, 수성 현상액은 계면 활성제와 같은 첨가제를 함유한다.
일부 구현예에서, 유전체 층은 레이저 빔으로 조사하여 패턴화된다. 이 공정은 레이저 드릴링(laser drilling) 또는 레이저 어블레이션으로 불린다. 레이저 빔을 사용한 직접 레이저 어블레이션은 건식(dry)의, 일 단계 재료 제거이다. 일부 구현예에서, 레이저의 파장은 355 nm 이하(예를 들어, 308 nm 이하)이다. 적합한 레이저 어블레이션 방법의 예는 본원에 참조로 포함되어 있는 US7598167, US6667551, 및 US6114240에 기술된 방법을 포함하지만, 이에 한정되지 않는다.
일부 구현예에서, 유전체 층은 플라스마 에칭에 의해 패턴화될 수 있다. 플라스마 에칭에 사용되는 가스의 예는 트리플루오로메탄(CHF3), 테트라플루오로메탄(CF4), 옥타플루오로사이클로부탄(C4F8), 염소(Cl2), 삼염화붕소(BCl3) 및 육플루오르화황(SF6)을 포함하지만, 이에 한정되지 않는다.
본 개시 내용은 다음의 실시예를 참조하여 보다 상세하게 설명되며, 이는 예시적인 목적을 위한 것으로 본 개시 내용의 범위를 제한하는 것으로는 해석되어서는 안된다.
실시예
합성 실시예 1 (P-1)
6FDA/DAPI 폴리이미드의 제조
Figure 112019113470280-pct00001
고체 4,4'-(헥사플루오로이소프로필리덴)디프탈산 무수물(6FDA)(334.0 g)을 실온에서 NMP(2206 g) 중 5(6)-아미노-1-(4-아미노페닐)-1,3,3-트리메틸인단(DAPI)(218.4 g)의 용액에 넣었다. 추가의 NMP(816 g)를 사용하여 이무수물(dianhydride)을 용액으로 헹구었다. 반응 온도를 60℃로 증가시키고, 혼합물을 3.5시간 동안 반응시켰다. 다음으로, 아세트산 무수물(125.7 g)과 피리딘(49.5 g)을 첨가하고, 반응 온도를 100℃로 증가시키고, 혼합물을 12시간 동안 반응시켰다. 반응 혼합물을 실온으로 냉각시키고, 동일한 부피의 THF로 희석하였다. 희석된 용액을 물(10×)에 천천히 첨가하여 미정제(crude) 중합체를 침전시켰다. 미정제 중합체를 진공 여과에 의해 분리하고, 물로 세척하였다. 미정제 습식(wet) 중합체를 메탄올로 슬러리화하고, 진공 여과에 의해 수집하고, 45℃에서 밤새 진공 하에 건조시켰다.
제형예 1 (FE-1)
367.81 g의 중합체(P-1), 1147.13 g의 사이클로펜탄온, 사이클로펜탄온 중 PolyFox 6320(OMNOVA Solutions로부터 입수 가능함)의 0.5 중량% 용액 22.07 g, 18.39 g의 메타크릴옥시프로필 트리메톡시실란, 11.03 g의 NCI-831(상품명, ADEKA 코포레이션으로부터 입수 가능함), 0.77 g의 파라-벤조퀴논, 124.14 g의 테트라-에틸렌글리콜 디아크릴레이트 및 41.38 g의 펜타에리스리톨 트리아크릴레이트를 사용하여 감광성 조성물 FE-1을 제조하였다. 24시간 동안 기계적으로 교반한 후, 0.2 미크론 필터를 사용하여 용액을 여과하였다.
건식 필름 실시예 DF-1
감광성 용액(FE-1)을 Fujifilm USA사(Greenwood, SC)의 리버스 마이크로바 코터(reverse microbar coater)를 사용하여, 5 피트/분(분당 150 cm)의 라인 속도 및 60 미크론 마이크로바 클리어런스(microbar clearance)로, 캐리어 기판(carrier substrate)으로 사용된 16.2"의 폭과 35 미크론의 두께를 갖는 폴리에틸렌 테레프탈레이트(PET) 필름(TA 30, Toray Plastics America, Inc. 제조) 상에 도포하고, 194℉에서 건조시켜 약 15.0 미크론의 두께를 갖는 감광성 중합체 층(DF-1)을 얻었다. 이 중합체 층 상에 16"의 폭과 30 미크론의 두께를 갖는 이축 배향 폴리프로필렌 필름(biaxially oriented polypropylene film(BOPP), Impex Global(Houston, TX) 제조)을 롤 압착에 의해 도포하여 보호층으로 작용하도록 하였다.
결합 층 조성물 실시예 1( CLC -1)
PGMEA 중 폴리(N,N-디메틸아미노 에틸 아크릴레이트)의 35% 용액 13.35 g, 80.07 g의 PGMEA, 0.95 g의 테트라-에틸렌글리콜 디아크릴레이트, 0.47 g의 CN992(Sartomer로부터 입수 가능한 우레탄 아크릴레이트) 및 0.18 g의 NCI-831을 혼합하여 결합 층 조성물(CLC-1)을 제조하였다.
실시예 1
에폭시 몰드 화합물(EMC)의 4인치 웨이퍼를 0.15 미크론(150 nm)의 두께로 CLC-1로 스핀 코팅하여 EMC 상에 결합 층을 형성하였다. DF-1의 보호층을 제거하고, Optek Laminator Model DPL-24A를 사용하여 40 psi의 압력하에 100℃의 온도에서 CLC-1 코팅된 EMC 웨이퍼 상에 감광성 필름을 적층시켰다. PET 필름(캐리어 층)을 제거한 후, 200 미크론 × 200 미크론 포스트(post)의 그룹을 함유하는 전단 시험 마스크(shear test mask)를 사용하여 250 mJ/cm2의 선량(dose)으로 광대역 광원(g, h 또는 i-라인)에 감광성 필름을 노출시켰다. 이어서, 사이클로펜탄온을 사용하여 필름을 현상하고(2×140 초), PGMEA로 헹구었다. 이렇게 형성된 포스트 패턴(post pattern)을 오븐에서 2시간 동안 170℃에서 베이킹하였다. XYZTEC Condor Sigma 전단 시험기(shear tester)를 사용하여 포스트에 대한 전단 시험을 수행하였다. 전단 시험은 15개 포스트의 두 그룹의 전단 강도 측정을 포함하였다. 전단 강도는 기판에서 포스트(결합 층과 유전체 층 둘 모두를 포함)를 분리하는 데 필요한 힘(그램 단위)으로 측정되었다.
실시예 1의 다층 구조물에 대한 결합 및 유전체 층의 측정된 전단 강도는 60 그램 포스(gram force)였다.
비교예 1
DF-1의 보호층을 제거하고, 감광성 필름을 결합 층 없이 Optek Laminator Model DPL-24A를 사용하여 40 psi의 압력하의 100℃의 온도에서 에폭시 몰드 화합물(EMC)의 4인치 웨이퍼 상에 적층하였다. PET 필름(캐리어 층)을 제거한 후, 200 미크론 × 200 미크론 포스트의 그룹을 함유하는 전단 시험 마스크를 사용하여 250 mJ/cm2의 선량으로 광대역 광원(g, h 및 i-라인)에 감광성 필름을 노출시켰다. 이어서, 사이클로펜탄온을 사용하여 필름을 현상하고(2×140 초), PGMEA로 헹구었다. 이렇게 형성된 포스트 패턴을 오븐에서 2시간 동안 170℃에서 베이킹하였다. 실시예 1에 기술된 바와 같이 XYZTEC Condor Sigma 전단 시험기를 사용하여 포스트에 대한 전단 시험을 수행하였다. 전단 강도는 기판에서 포스트(유전체 층은 포함하지만 결합 층은 포함하지 않음)를 분리하는 데 필요한 힘(그램 단위)으로 측정되었다. 측정된 전단 강도는 20 그램 포스였다. 실시예 1과 비교 실시예 1의 비교는 놀랍게도 실시예 1에서 결합 층 CLC-1의 존재가 전단 강도를 3배 만큼 향상시켰음을 보여주었다.

Claims (17)

  1. 다층 구조물(multilayer structure)로서,
    기판(substrate);
    기판 상에 증착된 결합 층(coupling layer); 및
    결합 층 상에 증착된 유전체 층(dielectric layer)
    을 포함하며, 여기에서 결합 층은 적어도 하나의 (메트)아크릴레이트 중합체, 적어도 하나의 가교제 및 가교 반응을 유도할 수 있는 적어도 하나의 개시제를 포함하는 조성물로부터 제조되고, 유전체 층은 적어도 하나의 완전히 이미드화된 폴리이미드 중합체, 적어도 하나의 가교제 및 가교 반응을 유도할 수 있는 적어도 하나의 개시제를 포함하는 조성물로부터 제조되며, 유전체 층의 전단 강도(shear strength)는 결합 층이 없는 다층 구조물과 비교하여 결합 층의 존재시에 적어도 약 2배 만큼 증가되는 다층 구조물.
  2. 제1항에 있어서,
    결합 층은 유전체 층의 전단 강도를 적어도 약 3배 만큼 증가시키는 것인 다층 구조물.
  3. 제1항에 있어서,
    기판은 에폭시 몰딩 화합물(epoxy molded compound)을 포함하는 것인 다층 구조물.
  4. 제3항에 있어서,
    기판은 내장된 반도체 디바이스를 포함하는 것인 다층 구조물.
  5. 제3항에 있어서,
    다층 구조물은 기판의 표면에 적어도 하나의 패턴화된 금속 구조물을 추가로 포함하는 것인 다층 구조물.
  6. 삭제
  7. 삭제
  8. 제1항에 있어서,
    결합 층 또는 유전체 층 내 가교제는 비닐기, 알릴기, 비닐 에테르기, 프로페닐 에테르기, (메트)아크릴로일기, SiH 기 및 티올기로 이루어지는 군으로부터 선택되는 적어도 하나의 작용기를 포함하는 것인 다층 구조물.
  9. 제1항에 있어서,
    유전체 층은 폴리이미드, 폴리벤즈옥사졸, (메트)아크릴레이트 중합체, 에폭시 중합체, 폴리우레탄, 폴리아미드, 폴리에스테르, 폴리에테르, 노볼락 수지, 벤조사이클로부텐 수지, 폴리스티렌 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 적어도 하나의 추가 중합체를 함유하는 것인 다층 구조물.
  10. 제9항에 있어서,
    유전체 층은 감광성(photosensitive) 층인 것인 다층 구조물.
  11. 삭제
  12. 제1항 내지 제5항 및 제8항 내지 제10항 중 어느 한 항의 다층 구조물을 제조하기 위한 방법으로서,
    (a) 결합 층을 형성하는 조성물로 기판을 코팅하여 제1 코팅 기판을 형성하는 단계; 및
    (b) 제1 코팅 기판을 유전체 층을 형성하는 조성물로 코팅하는 단계
    를 포함하는 방법.
  13. 삭제
  14. 제12항에 있어서,
    유전체 층을 베이킹하거나 또는 광원에 노출시키는 단계를 거치게 하여 유전체 층을 가교시키는 단계를 추가로 포함하는 방법.
  15. 제12항에 있어서,
    리소그래피 공정(lithographic process), 레이저 어블레이션 공정(laser ablation process) 및 플라스마 에칭 공정(plasma etching process)으로 이루어지는 군으로부터 선택되는 공정에 의해 유전체 층을 패턴화하는 단계를 추가로 포함하는 방법.
  16. 제12항의 방법에 의해 형성되는 적어도 하나의 다층 구조물을 포함하는 3차원 물체.
  17. 제16항의 3차원 물체를 포함하는 반도체 디바이스.
KR1020197032823A 2017-06-16 2018-06-15 다층 구조물 KR102605655B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762520850P 2017-06-16 2017-06-16
US62/520,850 2017-06-16
PCT/US2018/037712 WO2018232214A1 (en) 2017-06-16 2018-06-15 Multilayer structure

Publications (2)

Publication Number Publication Date
KR20200019603A KR20200019603A (ko) 2020-02-24
KR102605655B1 true KR102605655B1 (ko) 2023-11-23

Family

ID=64657599

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197032823A KR102605655B1 (ko) 2017-06-16 2018-06-15 다층 구조물

Country Status (8)

Country Link
US (1) US11634529B2 (ko)
EP (1) EP3639293A4 (ko)
JP (1) JP7235317B2 (ko)
KR (1) KR102605655B1 (ko)
CN (1) CN110731001A (ko)
PH (1) PH12019502420A1 (ko)
TW (1) TWI796337B (ko)
WO (1) WO2018232214A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022015695A1 (en) * 2020-07-15 2022-01-20 Fujifilm Electronic Materials U.S.A., Inc. Dielectric film forming compositions

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070212494A1 (en) * 2005-07-22 2007-09-13 Molecular Imprints, Inc. Method for Imprint Lithography Utilizing an Adhesion Primer Layer

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3856752A (en) 1973-10-01 1974-12-24 Ciba Geigy Corp Soluble polyimides derived from phenylindane diamines and dianhydrides
US4026876A (en) 1975-01-20 1977-05-31 Ciba-Geigy Corporation Soluble polyamide-imides derived from phenylindane diamines
DE2931297A1 (de) 1979-08-01 1981-02-19 Siemens Ag Waermebestaendige positivresists und verfahren zur herstellung waermebestaendiger reliefstrukturen
US4629777A (en) 1983-05-18 1986-12-16 Ciba-Geigy Corporation Polyimides, a process for their preparation and their use
US4643910A (en) * 1985-04-01 1987-02-17 Motorola Inc. Process for curing polyimide
US4608409A (en) 1985-05-08 1986-08-26 Desoto, Inc. Polyacrylated oligomers in ultraviolet curable optical fiber coatings
CA1326673C (en) 1986-12-26 1994-02-01 Yasuhisa Saito Imide compound and composition containing the same
EP0291779B1 (de) 1987-05-18 1994-07-27 Siemens Aktiengesellschaft Wärmebeständige Positivresists und Verfahren zur Herstellung wärmebeständiger Reliefstrukturen
US4988413A (en) * 1989-02-17 1991-01-29 The Boeing Company Reducing plating anomalies in electroplated fine geometry conductive features
US5104733A (en) 1990-02-23 1992-04-14 Shell Oil Company Adhesive for adhering polybutylene to metal
US5122436A (en) 1990-04-26 1992-06-16 Eastman Kodak Company Curable composition
EP0512339B1 (de) 1991-05-07 1997-10-15 Siemens Aktiengesellschaft Hochwärmebeständige Positivresists und Verfahren zur Herstellung hochwärmebeständiger Reliefstrukturen
US5366906A (en) * 1992-10-16 1994-11-22 Martin Marietta Corporation Wafer level integration and testing
US5412065A (en) 1993-04-09 1995-05-02 Ciba-Geigy Corporation Polyimide oligomers
JPH0794540A (ja) * 1993-09-21 1995-04-07 Sony Corp 半導体装置の製造方法
KR0126792B1 (ko) * 1994-04-11 1998-04-01 김광호 폴리이미드(Polyimide) 표면 처리방법
DE59600371D1 (de) 1995-03-23 1998-09-03 Siemens Ag Verfahren zur Herstellung von Polybenzoxazol-Vorstufen und entsprechender Resistlösungen
EP0750003B1 (de) 1995-06-19 2002-08-14 Infineon Technologies AG Verfahren zur Herstellung von Poly-o-hydroxyamiden
DE59602852D1 (de) 1995-06-19 1999-09-30 Siemens Ag Verfahren zur Herstellung von Poly-o-hydroxyamiden
EP0761717B1 (de) 1995-08-31 2001-06-06 Infineon Technologies AG Verfahren zur Herstellung von Poly-o-hydroxyamiden und Poly-o-mercaptoamiden
EP0765894B1 (de) 1995-08-31 2001-02-28 Infineon Technologies AG Herstellung von Poly-o-hydroxyamiden und Poly-o-mercaptoamiden
TW502135B (en) 1996-05-13 2002-09-11 Sumitomo Bakelite Co Positive type photosensitive resin composition and process for preparing polybenzoxazole resin film by using the same
US5969055A (en) 1996-05-16 1999-10-19 Jsr Corporation Liquid crystal alignment agent
EP0848028B1 (de) 1996-12-11 2004-02-18 Infineon Technologies AG Herstellung von Polybenzoxazol- und Polybenzothiazol-Vorstufen
US6114240A (en) 1997-12-18 2000-09-05 Micron Technology, Inc. Method for fabricating semiconductor components using focused laser beam
US6376151B1 (en) 1998-04-15 2002-04-23 Asahi Kasei Kabushiki Kaisha Positive resist composition
US6177225B1 (en) 1998-10-01 2001-01-23 Arch Specialty Chemicals, Inc. Photosensitive resin compositions
US6127086A (en) 1998-10-01 2000-10-03 Arch Specialty Chemicals, Inc. Photosensitive resin compositions
JP2000131845A (ja) 1998-10-22 2000-05-12 Sumitomo Bakelite Co Ltd ポジ型感光性樹脂組成物、およびそれを用いた半導体装置
JP3736607B2 (ja) 2000-01-21 2006-01-18 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
JP2002329781A (ja) * 2001-04-27 2002-11-15 Tokyo Ohka Kogyo Co Ltd 微細ホールの埋込方法
US20040235992A1 (en) 2001-05-30 2004-11-25 Koji Okada Photosensitive resin composition and photosensitive dry film resist and photosensitive coverlay film produced therefrom
CN1324402C (zh) 2001-10-30 2007-07-04 钟渊化学工业株式会社 感光性树脂组合物、使用该组合物的感光性薄膜及层压体
JP4307825B2 (ja) * 2002-08-28 2009-08-05 リンテック株式会社 半導体ウエハの保護構造、半導体ウエハの保護方法、これらに用いる積層保護シートおよび半導体ウエハの加工方法
US6844950B2 (en) 2003-01-07 2005-01-18 General Electric Company Microstructure-bearing articles of high refractive index
US7101652B2 (en) 2003-03-11 2006-09-05 Arch Specialty Chemicals, Inc. Photosensitive resin compositions
US6939659B2 (en) 2003-03-11 2005-09-06 Arch Specialty Chemicals, Inc. Photosensitive resin compositions
EP1633175A4 (en) 2003-09-29 2009-11-11 Ibiden Co Ltd INSULATION INTERIOR FOR PRINTED CONNECTION CARD, PRINTED CONNECTION CARD, AND METHOD OF MANUFACTURING THE SAME
US7598167B2 (en) 2004-08-24 2009-10-06 Micron Technology, Inc. Method of forming vias in semiconductor substrates without damaging active regions thereof and resulting structures
US8557351B2 (en) 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US8107777B2 (en) 2005-11-02 2012-01-31 John Farah Polyimide substrate bonded to other substrate
TWI274773B (en) 2006-07-10 2007-03-01 Nanya Plastics Corp The printed circuit board composition of polybutadiene thermosetting resin and its manufacture
TWI328865B (en) * 2006-10-31 2010-08-11 Ind Tech Res Inst Structure of chip stacked packaging, structure of embedded chip packaging and fabricating method thereof
KR101016886B1 (ko) * 2007-04-06 2011-02-22 주식회사 엘지화학 액정표시소자용 스페이서 제조방법 및 이에 의해 제조된액정표시소자용 스페이서
JP5410918B2 (ja) 2008-10-20 2014-02-05 チェイル インダストリーズ インコーポレイテッド ポジティブ型感光性樹脂組成物
US9785063B2 (en) 2012-04-27 2017-10-10 Hewlett-Packard Indigo B.V. Liquid electrophotographic ink
JP2013258332A (ja) 2012-06-13 2013-12-26 Fujifilm Corp 半導体装置製造用仮接着剤、並びに、それを用いた接着性支持体、及び、半導体装置の製造方法
SG10201709161SA (en) 2013-05-17 2017-12-28 Fujifilm Electronic Mat Usa Inc Novel polymer and thermosetting composition containing same
US9315696B2 (en) 2013-10-31 2016-04-19 Dow Global Technologies Llc Ephemeral bonding
WO2015116657A1 (en) 2014-01-31 2015-08-06 Fujifilm Electronic Materials U.S.A., Inc. Novel polyimide compositions
WO2015183782A1 (en) * 2014-05-29 2015-12-03 3M Innovative Properties Company Acrylic adhesive composition with controlled molecular weight
JP2016063009A (ja) 2014-09-17 2016-04-25 日産化学工業株式会社 密着強化膜を有する被覆基板
JP6845156B2 (ja) * 2015-04-21 2021-03-17 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 感光性ポリイミド組成物
CN107615224A (zh) 2015-06-09 2018-01-19 旭化成株式会社 触摸面板用水性树脂组合物、转印薄膜和固化膜层叠体以及树脂图案的制造方法和触摸面板显示装置
WO2017116858A1 (en) 2015-12-30 2017-07-06 Fujifilm Electronic Materials U.S.A., Inc. Photosensitive stacked structure
KR20180001699A (ko) 2016-06-27 2018-01-05 에스케이하이닉스 주식회사 웨이퍼 레벨 패키지 및 제조 방법
KR101676025B1 (ko) 2016-06-30 2016-11-15 (주) 화인테크놀리지 반도체 웨이퍼의 하프커팅 후 이면 연삭 가공용 자외선 경화형 점착시트

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070212494A1 (en) * 2005-07-22 2007-09-13 Molecular Imprints, Inc. Method for Imprint Lithography Utilizing an Adhesion Primer Layer

Also Published As

Publication number Publication date
JP2020523798A (ja) 2020-08-06
US11634529B2 (en) 2023-04-25
WO2018232214A1 (en) 2018-12-20
PH12019502420A1 (en) 2020-06-29
CN110731001A (zh) 2020-01-24
JP7235317B2 (ja) 2023-03-08
TW201908119A (zh) 2019-03-01
US20180366419A1 (en) 2018-12-20
KR20200019603A (ko) 2020-02-24
EP3639293A4 (en) 2020-06-10
TWI796337B (zh) 2023-03-21
EP3639293A1 (en) 2020-04-22

Similar Documents

Publication Publication Date Title
TWI766835B (zh) 光敏性聚醯亞胺組成物(二)
CN109789644B (zh) 形成介电膜的组合物
TWI670296B (zh) 新穎之聚醯亞胺組成物
JP2020504769A (ja) ポリイミド
KR102605655B1 (ko) 다층 구조물
TWI818094B (zh) 感光性樹脂組成物、圖案硬化物的製造方法、硬化物、層間絕緣膜、覆蓋塗層、表面保護膜及電子零件
CN108701582B (zh) 光敏性堆叠结构体
TW201019047A (en) Photosensitive resin composition, photosensitive resin laminate, method for forming resist pattern, conductive pattern, printed wiring board, lead frame, base, and method for manufacturing semiconductor package
TW202309103A (zh) 感光性樹脂組合物及抗蝕圖案之形成方法
TW202039633A (zh) 不飽和環酐末端包覆的聚醯亞胺及聚醯胺酸及其感光組成物
TW202043919A (zh) 感光性聚醯亞胺組成物
JP2020502291A (ja) ポリイミド

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant