JP5314247B2 - 基板処理方法、プラズマ室および半導体デバイス - Google Patents
基板処理方法、プラズマ室および半導体デバイス Download PDFInfo
- Publication number
- JP5314247B2 JP5314247B2 JP2006547052A JP2006547052A JP5314247B2 JP 5314247 B2 JP5314247 B2 JP 5314247B2 JP 2006547052 A JP2006547052 A JP 2006547052A JP 2006547052 A JP2006547052 A JP 2006547052A JP 5314247 B2 JP5314247 B2 JP 5314247B2
- Authority
- JP
- Japan
- Prior art keywords
- substrate
- plasma
- processing
- electrode
- plasma region
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 239000000758 substrate Substances 0.000 title claims abstract description 121
- 239000004065 semiconductor Substances 0.000 title claims description 12
- 238000003672 processing method Methods 0.000 title 1
- 238000000034 method Methods 0.000 claims abstract description 154
- 230000008569 process Effects 0.000 claims abstract description 104
- 238000012545 processing Methods 0.000 claims abstract description 57
- 238000011068 loading method Methods 0.000 claims abstract description 9
- 238000005530 etching Methods 0.000 claims description 68
- 239000010949 copper Substances 0.000 claims description 59
- 229910052802 copper Inorganic materials 0.000 claims description 58
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 55
- 239000006227 byproduct Substances 0.000 claims description 50
- 239000011248 coating agent Substances 0.000 claims description 31
- 238000000576 coating method Methods 0.000 claims description 31
- 239000000463 material Substances 0.000 claims description 30
- 238000001816 cooling Methods 0.000 claims description 22
- 238000000151 deposition Methods 0.000 claims description 8
- 238000010438 heat treatment Methods 0.000 claims description 8
- 230000008021 deposition Effects 0.000 claims description 7
- 238000004519 manufacturing process Methods 0.000 claims description 7
- 238000011282 treatment Methods 0.000 claims description 4
- 238000007599 discharging Methods 0.000 claims 2
- 238000000059 patterning Methods 0.000 claims 2
- 230000004888 barrier function Effects 0.000 description 24
- 239000007789 gas Substances 0.000 description 24
- 239000000126 substance Substances 0.000 description 17
- 239000000460 chlorine Substances 0.000 description 14
- 239000002245 particle Substances 0.000 description 14
- 238000001020 plasma etching Methods 0.000 description 14
- 238000006243 chemical reaction Methods 0.000 description 13
- 239000010408 film Substances 0.000 description 12
- 229910052801 chlorine Inorganic materials 0.000 description 10
- 229910052736 halogen Inorganic materials 0.000 description 8
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 7
- 239000004020 conductor Substances 0.000 description 7
- 238000011109 contamination Methods 0.000 description 7
- 150000002367 halogens Chemical class 0.000 description 7
- 239000000654 additive Substances 0.000 description 5
- 238000005260 corrosion Methods 0.000 description 5
- 230000007797 corrosion Effects 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 238000013507 mapping Methods 0.000 description 5
- 229910021591 Copper(I) chloride Inorganic materials 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- OXBLHERUFWYNTN-UHFFFAOYSA-M copper(I) chloride Chemical compound [Cu]Cl OXBLHERUFWYNTN-UHFFFAOYSA-M 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- -1 radical halogen Chemical class 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 229910052794 bromium Inorganic materials 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 238000009833 condensation Methods 0.000 description 3
- 230000005494 condensation Effects 0.000 description 3
- 230000001419 dependent effect Effects 0.000 description 3
- 230000009977 dual effect Effects 0.000 description 3
- 238000002161 passivation Methods 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- 239000005749 Copper compound Substances 0.000 description 2
- 229910000881 Cu alloy Inorganic materials 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000004590 computer program Methods 0.000 description 2
- 150000001880 copper compounds Chemical class 0.000 description 2
- ORTQZVOHEJQUHG-UHFFFAOYSA-L copper(II) chloride Chemical compound Cl[Cu]Cl ORTQZVOHEJQUHG-UHFFFAOYSA-L 0.000 description 2
- 238000012937 correction Methods 0.000 description 2
- 238000013500 data storage Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910052743 krypton Inorganic materials 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229960003280 cupric chloride Drugs 0.000 description 1
- 229940045803 cuprous chloride Drugs 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 229920001187 thermosetting polymer Polymers 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000012876 topography Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/32115—Planarisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/67034—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
- H01L21/6704—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
- H01L21/67051—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67075—Apparatus for fluid treatment for etching for wet etching
- H01L21/6708—Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/7684—Smoothing; Planarisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/02—Details
- H01J2237/022—Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- ing And Chemical Polishing (AREA)
- Chemical Vapour Deposition (AREA)
Description
Claims (17)
- 基板を処理する方法であって、
基板をプラズマ室に装填する工程と、
前記プラズマ室の圧力を所定の圧力設定値に設定する工程と、
前記基板上にプラズマを形成するプラズマ領域を前記プラズマ室の中に画定する複数の内部表面を、該内部表面上の蒸着を実質的に防止可能な約200℃よりも高い処理温度に前記基板の処理中に加熱する工程であって、前記複数の内部表面の一部をホットライナで形成し、前記ホットライナを前記基板の処理中に加熱する、工程と、
プラズマを形成するための処理ガスを前記プラズマ領域に注入する工程と、
前記基板を処理する工程と、
前記基板の処理中に、前記ホットライナの外側に隣接する冷却トラップを通じて、前記プラズマ領域で発生する副産物蒸気を前記プラズマ領域から排出して、前記冷却トラップの内部で前記副産物蒸気を凝結させる工程と
を備え、
前記基板を支持する取付部を形成する第1の電極を、前記プラズマ室に設け、
前記第1の電極と略平行に配設され前記内部表面の一部を構成する第2の電極を、前記プラズマ室に設け、
前記第1の電極と前記第2の電極とを所定の距離で離間し、
前記第1の電極と前記第2の電極との間における前記内部表面の一部を前記ホットライナで形成し、
前記ホットライナにおける前記第1の電極側に形成されている通路を通じて前記プラズマ領域に連通させつつ、前記ホットライナの外側における前記第1の電極側に隣接させて前記冷却トラップを設け、
前記基板の処理中に、前記プラズマ領域における前記第2の電極側から、前記基板を処理する処理ガスを供給し、
前記基板の処理中に、前記プラズマ領域における前記第1の電極側から、前記副産物蒸気を排出する、方法。 - 前記プラズマ領域と前記冷却トラップとの間を連通する通路の幅を、約5ミリメートルから約20ミリメートルにする請求項1記載の方法。
- 前記冷却トラップを、前記処理温度よりも約50℃以上低い温度にする請求項2記載の方法。
- 前記基板を処理する工程は、前記基板をエッチングする工程を含む請求項1記載の方法。
- 前記基板を処理する工程は、前記基板上の銅膜をエッチングする工程を含む請求項1記載の方法。
- 前記内部表面を前記処理温度に加熱する工程は、前記副産物蒸気を前記基板の表面に凝結させるのに十分な第2の温度に、前記基板の前記表面を維持して、前記基板に膜を蒸着させる工程を含む請求項1記載の方法。
- 前記第2の温度を、前記処理温度よりも約50℃以上低くする請求項6記載の方法。
- 前記所定の圧力設定値を、大気圧よりも低くする請求項1記載の方法。
- 前記所定の圧力設定値を、約1ミリトールから約500ミリトールの範囲内にする請求項1記載の方法。
- 前記所定の距離を、約0.5センチメートルから約5センチメートルの範囲にする請求項1の方法。
- 前記基板を処理する工程は、無応力で平坦化を行う処理を含む請求項1記載の方法。
- 請求項11記載の方法であって、
前記基板は、パターン化されると共に、該パターン化による溝状の造形部を充填する導電性接続材を有し、
前記導電性接続材は、不均一性を有すると共に前記基板の表面を被覆する被覆部を有し、
前記無応力で平坦化を行う処理は、前記被覆部を平坦化する工程を含み、
前記被覆部を平坦化する工程は、
前記被覆部に追加層を蒸着する工程と、
前記追加層および前記被覆部を平坦化することによって該追加層を略完全に除去する工程と
を含む方法。 - プラズマ室であって、
基板を支持する取付部を形成する第1の電極と、
前記第1の電極に略平行に該第1の電極から所定の距離で離間して配設された第2の電極と、
前記基板上にプラズマを形成するプラズマ領域を前記プラズマ室の中に画定する内部表面の一部を前記第2の電極と協働して形成するホットライナであって、前記基板の処理中に該ホットライナ上の蒸着を実質的に防止可能な温度を有するホットライナと、
前記ホットライナの外側における前記第1の電極側に隣接して設けられると共に、前記ホットライナにおける前記第1の電極側に形成されている通路を通じて前記プラズマ領域に連通され、前記プラズマ領域で発生し前記プラズマ領域から排出される副産物蒸気を凝結させる冷却トラップと
を備えるプラズマ室。 - 前記所定の距離は、約0.5センチメートルから約5センチメートルの範囲である請求項13記載のプラズマ室。
- 前記プラズマ領域と前記冷却トラップとの間を連通する通路の幅は、約5ミリメートルから約20ミリメートルである請求項13記載のプラズマ室。
- 半導体デバイスの製造方法であって、
パターン化された基板をプラズマ室に装填する工程であって、該基板は該パターン化による溝状の造形部を充填する導電性接続材を有し、該導電性接続材は不均一性を有すると共に前記基板の表面を被覆する被覆部を有する工程と、
前記プラズマ室の圧力を所定の圧力設定値に設定する工程と、
前記基板上にプラズマを形成するプラズマ領域を前記プラズマ室の中に画定する複数の内部表面を、該内部表面上の蒸着を実質的に防止可能な約200℃よりも高い処理温度に前記基板の処理中に加熱する工程であって、前記複数の内部表面の一部をホットライナで形成し、前記ホットライナを前記基板の処理中に加熱する、工程と、
プラズマを形成するための処理ガスを前記プラズマ領域に注入する工程と、
前記基板を処理する基板処理工程であって、
前記被覆部の上に追加層を形成する工程と、
前記追加層および前記被覆部を平坦化することによって該追加層を略完全に除去する工程と
を含む基板処理工程と、
前記基板の処理中に、前記ホットライナの外側における前記第1の電極側に隣接する冷却トラップであって、前記ホットライナにおける前記第1の電極側に形成されている通路を通じて前記プラズマ領域に連通される冷却トラップを通じて、前記プラズマ領域で発生する副産物蒸気を前記プラズマ領域から排出して、前記冷却トラップの内部で前記副産物蒸気を凝結させる工程と
を備える半導体デバイスの製造方法。 - 前記導電性接続材は銅を含む請求項16記載の半導体デバイスの製造方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/744,355 | 2003-12-22 | ||
US10/744,355 US7009281B2 (en) | 2003-03-14 | 2003-12-22 | Small volume process chamber with hot inner surfaces |
PCT/US2004/040865 WO2005067005A1 (en) | 2003-12-22 | 2004-12-06 | Small volume process chamber with hot inner surfaces |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2007520059A JP2007520059A (ja) | 2007-07-19 |
JP5314247B2 true JP5314247B2 (ja) | 2013-10-16 |
Family
ID=34749217
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006547052A Expired - Fee Related JP5314247B2 (ja) | 2003-12-22 | 2004-12-06 | 基板処理方法、プラズマ室および半導体デバイス |
Country Status (9)
Country | Link |
---|---|
US (2) | US7009281B2 (ja) |
EP (1) | EP1697973A1 (ja) |
JP (1) | JP5314247B2 (ja) |
KR (1) | KR101211446B1 (ja) |
CN (1) | CN100508108C (ja) |
IL (1) | IL176269A0 (ja) |
SG (1) | SG131933A1 (ja) |
TW (1) | TWI298004B (ja) |
WO (1) | WO2005067005A1 (ja) |
Families Citing this family (51)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2001284267A (ja) * | 2000-04-03 | 2001-10-12 | Canon Inc | 排気処理方法、プラズマ処理方法及びプラズマ処理装置 |
JP4399206B2 (ja) * | 2003-08-06 | 2010-01-13 | 株式会社アルバック | 薄膜製造装置 |
EP1661161A2 (en) * | 2003-08-07 | 2006-05-31 | Sundew Technologies, LLC | Perimeter partition-valve with protected seals |
CN100358099C (zh) * | 2005-08-05 | 2007-12-26 | 中微半导体设备(上海)有限公司 | 等离子体处理装置 |
US8366829B2 (en) * | 2005-08-05 | 2013-02-05 | Advanced Micro-Fabrication Equipment, Inc. Asia | Multi-station decoupled reactive ion etch chamber |
JP5044931B2 (ja) * | 2005-10-31 | 2012-10-10 | 東京エレクトロン株式会社 | ガス供給装置及び基板処理装置 |
US20070227663A1 (en) * | 2006-03-28 | 2007-10-04 | Tokyo Electron Limited | Substrate processing apparatus and side wall component |
US9184043B2 (en) * | 2006-05-24 | 2015-11-10 | Lam Research Corporation | Edge electrodes with dielectric covers |
US7879184B2 (en) * | 2006-06-20 | 2011-02-01 | Lam Research Corporation | Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts |
DE102006030265B4 (de) * | 2006-06-30 | 2014-01-30 | Globalfoundries Inc. | Verfahren zum Verbessern der Planarität einer Oberflächentopographie in einer Mikrostruktur |
JP2009021584A (ja) * | 2007-06-27 | 2009-01-29 | Applied Materials Inc | 高k材料ゲート構造の高温エッチング方法 |
US7879732B2 (en) * | 2007-12-18 | 2011-02-01 | Chartered Semiconductor Manufacturing Ltd. | Thin film etching method and semiconductor device fabrication using same |
US8869741B2 (en) | 2008-12-19 | 2014-10-28 | Lam Research Corporation | Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber |
US8540844B2 (en) * | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
US8216376B1 (en) * | 2009-01-15 | 2012-07-10 | Intermolecular, Inc. | Method and apparatus for variable conductance |
US8313612B2 (en) * | 2009-03-24 | 2012-11-20 | Lam Research Corporation | Method and apparatus for reduction of voltage potential spike during dechucking |
US8840725B2 (en) * | 2009-11-11 | 2014-09-23 | Applied Materials, Inc. | Chamber with uniform flow and plasma distribution |
US20110136346A1 (en) * | 2009-12-04 | 2011-06-09 | Axcelis Technologies, Inc. | Substantially Non-Oxidizing Plasma Treatment Devices and Processes |
US8597462B2 (en) * | 2010-05-21 | 2013-12-03 | Lam Research Corporation | Movable chamber liner plasma confinement screen combination for plasma processing apparatuses |
JP5567392B2 (ja) * | 2010-05-25 | 2014-08-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US9117767B2 (en) | 2011-07-21 | 2015-08-25 | Lam Research Corporation | Negative ion control for dielectric etch |
CN102376604B (zh) * | 2010-08-19 | 2013-10-30 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 真空加工设备及其温度控制方法、半导体器件加工方法 |
US8591755B2 (en) * | 2010-09-15 | 2013-11-26 | Lam Research Corporation | Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same |
KR101864132B1 (ko) | 2010-10-05 | 2018-07-13 | 에바텍 아크티엔게젤샤프트 | 폴리머 기판의 진공 처리를 위한 현장 컨디셔닝 |
CN102543839B (zh) * | 2010-12-22 | 2014-01-08 | 中国科学院微电子研究所 | 层间电介质层的平面化方法 |
JP5728221B2 (ja) * | 2010-12-24 | 2015-06-03 | 東京エレクトロン株式会社 | 基板処理方法及び記憶媒体 |
CN103502508B (zh) * | 2010-12-30 | 2016-04-27 | 维易科仪器公司 | 使用承载器扩展的晶圆加工 |
US11171008B2 (en) * | 2011-03-01 | 2021-11-09 | Applied Materials, Inc. | Abatement and strip process chamber in a dual load lock configuration |
WO2012148568A1 (en) | 2011-03-01 | 2012-11-01 | Applied Materials, Inc. | Method and apparatus for substrate transfer and radical confinement |
CN103403852B (zh) * | 2011-03-01 | 2016-06-08 | 应用材料公司 | 双负载闸配置的消除及剥离处理腔室 |
TWI511223B (zh) * | 2011-06-03 | 2015-12-01 | Hermes Epitek Corp | 半導體設備 |
US20130105085A1 (en) * | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | Plasma reactor with chamber wall temperature control |
WO2013130191A1 (en) * | 2012-02-29 | 2013-09-06 | Applied Materials, Inc. | Abatement and strip process chamber in a load lock configuration |
US9157730B2 (en) | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
US9388493B2 (en) * | 2013-01-08 | 2016-07-12 | Veeco Instruments Inc. | Self-cleaning shutter for CVD reactor |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
KR101598465B1 (ko) * | 2014-09-30 | 2016-03-02 | 세메스 주식회사 | 기판 처리 장치 및 방법 |
US9865437B2 (en) * | 2014-12-30 | 2018-01-09 | Applied Materials, Inc. | High conductance process kit |
KR101792941B1 (ko) * | 2015-04-30 | 2017-11-02 | 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 | 화학기상증착장치 및 그 세정방법 |
US10954594B2 (en) * | 2015-09-30 | 2021-03-23 | Applied Materials, Inc. | High temperature vapor delivery system and method |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
CN106672892A (zh) * | 2016-12-21 | 2017-05-17 | 中国电子科技集团公司第五十五研究所 | 减小三维堆叠中牺牲层在化学机械抛光中凹陷变形的方法 |
KR102492733B1 (ko) * | 2017-09-29 | 2023-01-27 | 삼성디스플레이 주식회사 | 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법 |
JP6575641B1 (ja) * | 2018-06-28 | 2019-09-18 | 株式会社明電舎 | シャワーヘッドおよび処理装置 |
JP7451490B2 (ja) * | 2018-07-30 | 2024-03-18 | ノードソン コーポレーション | プラズマを用いたワーク処理用のシステム |
WO2020068343A1 (en) * | 2018-09-28 | 2020-04-02 | Applied Materials, Inc. | Coaxial lift device with dynamic leveling |
CN111326391B (zh) * | 2018-12-17 | 2023-01-24 | 中微半导体设备(上海)股份有限公司 | 等离子体处理装置 |
US11499223B2 (en) * | 2020-12-10 | 2022-11-15 | Applied Materials, Inc. | Continuous liner for use in a processing chamber |
US20230033058A1 (en) * | 2021-07-29 | 2023-02-02 | Applied Materials, Inc. | Reactor with inductively coupled plasma source |
Family Cites Families (105)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
JPS6444043A (en) * | 1987-08-11 | 1989-02-16 | Nec Corp | Formation of multilayer interconnection structure |
US4985113A (en) * | 1989-03-10 | 1991-01-15 | Hitachi, Ltd. | Sample treating method and apparatus |
DE3914065A1 (de) * | 1989-04-28 | 1990-10-31 | Leybold Ag | Vorrichtung zur durchfuehrung von plasma-aetzverfahren |
US5256565A (en) * | 1989-05-08 | 1993-10-26 | The United States Of America As Represented By The United States Department Of Energy | Electrochemical planarization |
EP0809283A3 (en) * | 1989-08-28 | 1998-02-25 | Hitachi, Ltd. | Method of treating wafers |
JPH03215687A (ja) * | 1990-01-19 | 1991-09-20 | Nec Corp | ドライエッチング装置 |
US5098516A (en) * | 1990-12-31 | 1992-03-24 | Air Products And Chemicals, Inc. | Processes for the chemical vapor deposition of copper and etching of copper |
JPH04311033A (ja) * | 1991-02-20 | 1992-11-02 | Micron Technol Inc | 半導体デバイスのエッチング後処理方法 |
US5200031A (en) * | 1991-08-26 | 1993-04-06 | Applied Materials, Inc. | Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps |
US5198677A (en) * | 1991-10-11 | 1993-03-30 | The United States Of America As Represented By The United States Department Of Energy | Production of N+ ions from a multicusp ion beam apparatus |
JP3045259B2 (ja) * | 1992-03-02 | 2000-05-29 | 東京エレクトロン株式会社 | プラズマ装置 |
JP3314403B2 (ja) * | 1992-03-24 | 2002-08-12 | 株式会社日立製作所 | 半導体集積回路装置の製造方法 |
US5387315A (en) * | 1992-10-27 | 1995-02-07 | Micron Technology, Inc. | Process for deposition and etching of copper in multi-layer structures |
US5798016A (en) * | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
JP2000082699A (ja) * | 1994-04-20 | 2000-03-21 | Tokyo Electron Ltd | エッチング処理装置 |
JPH08153710A (ja) * | 1994-11-30 | 1996-06-11 | Toshiba Corp | 半導体装置の製造方法 |
US5534751A (en) * | 1995-07-10 | 1996-07-09 | Lam Research Corporation | Plasma etching apparatus utilizing plasma confinement |
US5788799A (en) * | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
US6308654B1 (en) * | 1996-10-18 | 2001-10-30 | Applied Materials, Inc. | Inductively coupled parallel-plate plasma reactor with a conical dome |
JP3109449B2 (ja) | 1997-04-25 | 2000-11-13 | 日本電気株式会社 | 多層配線構造の形成方法 |
US6071372A (en) * | 1997-06-05 | 2000-06-06 | Applied Materials, Inc. | RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls |
JP2003526191A (ja) * | 1997-08-13 | 2003-09-02 | アプライド マテリアルズ インコーポレイテッド | 半導体デバイス用銅エッチング方法 |
US6008130A (en) * | 1997-08-14 | 1999-12-28 | Vlsi Technology, Inc. | Polymer adhesive plasma confinement ring |
TW505984B (en) * | 1997-12-12 | 2002-10-11 | Applied Materials Inc | Method of etching patterned layers useful as masking during subsequent etching or for damascene structures |
US6096230A (en) * | 1997-12-29 | 2000-08-01 | Intel Corporation | Method of planarizing by polishing a structure which is formed to promote planarization |
US6140226A (en) * | 1998-01-16 | 2000-10-31 | International Business Machines Corporation | Dual damascene processing for semiconductor chip interconnects |
US5968847A (en) * | 1998-03-13 | 1999-10-19 | Applied Materials, Inc. | Process for copper etch back |
JP3066007B2 (ja) * | 1998-06-24 | 2000-07-17 | 株式会社日立製作所 | プラズマ処理装置およびプラズマ処理方法 |
TW430946B (en) * | 1998-07-22 | 2001-04-21 | United Microelectronics Corp | Dual damascene process |
TW398036B (en) * | 1998-08-18 | 2000-07-11 | Promos Technologies Inc | Method of monitoring of chemical mechanical polishing end point and uniformity |
US6004188A (en) * | 1998-09-10 | 1999-12-21 | Chartered Semiconductor Manufacturing Ltd. | Method for forming copper damascene structures by using a dual CMP barrier layer |
US6051496A (en) * | 1998-09-17 | 2000-04-18 | Taiwan Semiconductor Manufacturing Company | Use of stop layer for chemical mechanical polishing of CU damascene |
US6221775B1 (en) * | 1998-09-24 | 2001-04-24 | International Business Machines Corp. | Combined chemical mechanical polishing and reactive ion etching process |
US6056864A (en) * | 1998-10-13 | 2000-05-02 | Advanced Micro Devices, Inc. | Electropolishing copper film to enhance CMP throughput |
US6364954B2 (en) * | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
US6368517B1 (en) * | 1999-02-17 | 2002-04-09 | Applied Materials, Inc. | Method for preventing corrosion of a dielectric material |
JP2000331991A (ja) * | 1999-03-15 | 2000-11-30 | Sony Corp | 半導体装置の製造方法 |
US6153530A (en) * | 1999-03-16 | 2000-11-28 | Applied Materials, Inc. | Post-etch treatment of plasma-etched feature surfaces to prevent corrosion |
US6173673B1 (en) * | 1999-03-31 | 2001-01-16 | Tokyo Electron Limited | Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber |
US6352081B1 (en) * | 1999-07-09 | 2002-03-05 | Applied Materials, Inc. | Method of cleaning a semiconductor device processing chamber after a copper etch process |
SG93856A1 (en) * | 1999-07-19 | 2003-01-21 | Chartered Semiconductor Mfg | A selective & damage free cu cleaning process for pre-dep, post etch/cmp |
US6147005A (en) * | 1999-07-23 | 2000-11-14 | Worldwide Semiconductor Manufacturing Corp. | Method of forming dual damascene structures |
US6133144A (en) * | 1999-08-06 | 2000-10-17 | Taiwan Semiconductor Manufacturing Company | Self aligned dual damascene process and structure with low parasitic capacitance |
US6083822A (en) * | 1999-08-12 | 2000-07-04 | Industrial Technology Research Institute | Fabrication process for copper structures |
DE19938404A1 (de) | 1999-08-13 | 2001-02-22 | Clariant Gmbh | Kosmetische Zubereitungen |
US6573187B1 (en) * | 1999-08-20 | 2003-06-03 | Taiwan Semiconductor Manufacturing Company | Method of forming dual damascene structure |
US6234870B1 (en) * | 1999-08-24 | 2001-05-22 | International Business Machines Corporation | Serial intelligent electro-chemical-mechanical wafer processor |
US6365327B1 (en) * | 1999-08-30 | 2002-04-02 | Agere Systems Guardian Corp. | Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit |
US6313025B1 (en) * | 1999-08-30 | 2001-11-06 | Agere Systems Guardian Corp. | Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit |
US6350664B1 (en) * | 1999-09-02 | 2002-02-26 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method of manufacturing the same |
US6408786B1 (en) * | 1999-09-23 | 2002-06-25 | Lam Research Corporation | Semiconductor processing equipment having tiled ceramic liner |
US6227140B1 (en) * | 1999-09-23 | 2001-05-08 | Lam Research Corporation | Semiconductor processing equipment having radiant heated ceramic liner |
US6423200B1 (en) * | 1999-09-30 | 2002-07-23 | Lam Research Corporation | Copper interconnect seed layer treatment methods and apparatuses for treating the same |
US20020102672A1 (en) * | 1999-10-04 | 2002-08-01 | Joseph Mizrahi | Process for producing a purified lactic acid solution |
US6635114B2 (en) * | 1999-12-17 | 2003-10-21 | Applied Material, Inc. | High temperature filter for CVD apparatus |
US6500357B1 (en) * | 1999-12-28 | 2002-12-31 | Applied Materials Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6949203B2 (en) * | 1999-12-28 | 2005-09-27 | Applied Materials, Inc. | System level in-situ integrated dielectric etch process particularly useful for copper dual damascene |
US6184128B1 (en) * | 2000-01-31 | 2001-02-06 | Advanced Micro Devices, Inc. | Method using a thin resist mask for dual damascene stop layer etch |
US6350364B1 (en) * | 2000-02-18 | 2002-02-26 | Taiwan Semiconductor Manufacturing Company | Method for improvement of planarity of electroplated copper |
TW580735B (en) | 2000-02-21 | 2004-03-21 | Hitachi Ltd | Plasma treatment apparatus and treating method of sample material |
JP2001244240A (ja) | 2000-02-25 | 2001-09-07 | Speedfam Co Ltd | 半導体ウエハの製造方法 |
JP2001267310A (ja) * | 2000-03-17 | 2001-09-28 | Tokyo Electron Ltd | プラズマ成膜方法及びその装置 |
US6630413B2 (en) * | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
US6323121B1 (en) * | 2000-05-12 | 2001-11-27 | Taiwan Semiconductor Manufacturing Company | Fully dry post-via-etch cleaning method for a damascene process |
US6576550B1 (en) * | 2000-06-30 | 2003-06-10 | Infineon, Ag | ‘Via first’ dual damascene process for copper metallization |
EP1322940A4 (en) * | 2000-07-31 | 2006-03-15 | Asml Us Inc | METHOD AND IN SITU DEVICE FOR DETECTING THE TURN POINT FOR CHEMICAL MECHANICAL POLISHING |
US6475298B1 (en) * | 2000-10-13 | 2002-11-05 | Lam Research Corporation | Post-metal etch treatment to prevent corrosion |
US6383935B1 (en) * | 2000-10-16 | 2002-05-07 | Taiwan Semiconductor Manufacturing Company | Method of reducing dishing and erosion using a sacrificial layer |
US6517413B1 (en) * | 2000-10-25 | 2003-02-11 | Taiwan Semiconductor Manufacturing Company | Method for a copper CMP endpoint detection system |
US6417093B1 (en) * | 2000-10-31 | 2002-07-09 | Lsi Logic Corporation | Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing |
KR100887014B1 (ko) * | 2000-11-01 | 2009-03-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버 |
US6482755B1 (en) * | 2000-11-02 | 2002-11-19 | Advanced Micro Devices, Inc. | HDP deposition hillock suppression method in integrated circuits |
US6479391B2 (en) * | 2000-12-22 | 2002-11-12 | Intel Corporation | Method for making a dual damascene interconnect using a multilayer hard mask |
US20020121500A1 (en) * | 2000-12-22 | 2002-09-05 | Rao Annapragada | Method of etching with NH3 and fluorine chemistries |
US20020124867A1 (en) * | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
US6696358B2 (en) * | 2001-01-23 | 2004-02-24 | Honeywell International Inc. | Viscous protective overlayers for planarization of integrated circuits |
US6482331B2 (en) * | 2001-04-18 | 2002-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for preventing contamination in a plasma process chamber |
US6486059B2 (en) * | 2001-04-19 | 2002-11-26 | Silicon Intergrated Systems Corp. | Dual damascene process using an oxide liner for a dielectric barrier layer |
TWI243404B (en) * | 2001-05-24 | 2005-11-11 | Lam Res Corp | Applications of oxide hardmasking in metal dry etch processors |
US20020182853A1 (en) * | 2001-05-31 | 2002-12-05 | Hsueh-Chung Chen | Method for removing hard-mask layer after metal-CMP in dual-damascene interconnect structure |
US20020187627A1 (en) * | 2001-06-06 | 2002-12-12 | Yu-Shen Yuang | Method of fabricating a dual damascene structure |
US20020192966A1 (en) * | 2001-06-19 | 2002-12-19 | Shanmugasundram Arulkumar P. | In situ sensor based control of semiconductor processing procedure |
US6527911B1 (en) * | 2001-06-29 | 2003-03-04 | Lam Research Corporation | Configurable plasma volume etch chamber |
KR100430472B1 (ko) * | 2001-07-12 | 2004-05-10 | 삼성전자주식회사 | 듀얼 다마신 공정을 이용한 배선 형성 방법 |
US6696222B2 (en) * | 2001-07-24 | 2004-02-24 | Silicon Integrated Systems Corp. | Dual damascene process using metal hard mask |
TW567554B (en) * | 2001-08-08 | 2003-12-21 | Lam Res Corp | All dual damascene oxide etch process steps in one confined plasma chamber |
US6984288B2 (en) * | 2001-08-08 | 2006-01-10 | Lam Research Corporation | Plasma processor in plasma confinement region within a vacuum chamber |
US6780086B2 (en) * | 2001-10-12 | 2004-08-24 | Mosel Vitelic, Inc. | Determining an endpoint in a polishing process |
US6579800B2 (en) * | 2001-10-12 | 2003-06-17 | Nutool, Inc. | Chemical mechanical polishing endpoint detection |
US6709314B2 (en) * | 2001-11-07 | 2004-03-23 | Applied Materials Inc. | Chemical mechanical polishing endpoinat detection |
US6582974B2 (en) * | 2001-11-15 | 2003-06-24 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for forming a dual damascene aperture while employing a peripherally localized intermediate etch stop layer |
JP3971603B2 (ja) * | 2001-12-04 | 2007-09-05 | キヤノンアネルバ株式会社 | 絶縁膜エッチング装置及び絶縁膜エッチング方法 |
US20030119305A1 (en) * | 2001-12-21 | 2003-06-26 | Huang Robert Y. S. | Mask layer and dual damascene interconnect structure in a semiconductor device |
US6653224B1 (en) * | 2001-12-27 | 2003-11-25 | Lam Research Corporation | Methods for fabricating interconnect structures having Low K dielectric properties |
US6440840B1 (en) * | 2002-01-25 | 2002-08-27 | Taiwan Semiconductor Manufactoring Company | Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits |
DE10208165C1 (de) * | 2002-02-26 | 2003-10-02 | Advanced Micro Devices Inc | Verfahren, Steuerung und Vorrichtung zum Steuern des chemisch-mechanischen Polierens von Substraten |
DE10208166B4 (de) * | 2002-02-26 | 2006-12-14 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung von Metallleitungen mit verbesserter Gleichförmigkeit auf einem Substrat |
US6828245B2 (en) * | 2002-03-02 | 2004-12-07 | Taiwan Semiconductor Manufacturing Co. Ltd | Method of improving an etching profile in dual damascene etching |
US20030199112A1 (en) * | 2002-03-22 | 2003-10-23 | Applied Materials, Inc. | Copper wiring module control |
US6806948B2 (en) * | 2002-03-29 | 2004-10-19 | Lam Research Corporation | System and method of broad band optical end point detection for film change indication |
US6764810B2 (en) * | 2002-04-25 | 2004-07-20 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for dual-damascene formation using a via plug |
US6706637B2 (en) * | 2002-05-09 | 2004-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual damascene aperture formation method absent intermediate etch stop layer |
DE10223945B4 (de) * | 2002-05-29 | 2006-12-21 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zum Verbessern der Herstellung von Damaszener-Metallstrukturen |
US6739953B1 (en) * | 2003-04-09 | 2004-05-25 | Lsi Logic Corporation | Mechanical stress free processing method |
-
2003
- 2003-12-22 US US10/744,355 patent/US7009281B2/en not_active Expired - Fee Related
-
2004
- 2004-12-06 JP JP2006547052A patent/JP5314247B2/ja not_active Expired - Fee Related
- 2004-12-06 WO PCT/US2004/040865 patent/WO2005067005A1/en not_active Application Discontinuation
- 2004-12-06 SG SG200702460-7A patent/SG131933A1/en unknown
- 2004-12-06 EP EP04817979A patent/EP1697973A1/en not_active Withdrawn
- 2004-12-06 CN CNB200480038467XA patent/CN100508108C/zh not_active Expired - Fee Related
- 2004-12-10 TW TW093138383A patent/TWI298004B/zh not_active IP Right Cessation
-
2005
- 2005-12-15 US US11/303,210 patent/US20060105575A1/en not_active Abandoned
-
2006
- 2006-06-12 IL IL176269A patent/IL176269A0/en unknown
- 2006-06-20 KR KR1020067012222A patent/KR101211446B1/ko not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
CN1898774A (zh) | 2007-01-17 |
IL176269A0 (en) | 2006-10-05 |
SG131933A1 (en) | 2007-05-28 |
KR101211446B1 (ko) | 2012-12-12 |
US20060105575A1 (en) | 2006-05-18 |
KR20060115898A (ko) | 2006-11-10 |
TW200527979A (en) | 2005-08-16 |
TWI298004B (en) | 2008-06-11 |
WO2005067005A1 (en) | 2005-07-21 |
US20050070105A1 (en) | 2005-03-31 |
JP2007520059A (ja) | 2007-07-19 |
CN100508108C (zh) | 2009-07-01 |
US7009281B2 (en) | 2006-03-07 |
EP1697973A1 (en) | 2006-09-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5314247B2 (ja) | 基板処理方法、プラズマ室および半導体デバイス | |
JP6883495B2 (ja) | エッチング方法 | |
TWI427684B (zh) | 用於現場基底處理之方法及裝置 | |
TWI413179B (zh) | 用於溝槽與介層洞輪廓修飾之方法 | |
US9911607B2 (en) | Method of processing target object | |
TW201826386A (zh) | 用於高深寬比結構之移除方法 | |
US20060154486A1 (en) | Low-pressure removal of photoresist and etch residue | |
US20110201208A1 (en) | Plasma etching method and plasma etching apparatus | |
JP2016139792A (ja) | 異方性タングステンエッチングのための方法および装置 | |
CN1505831A (zh) | 蚀刻有机抗反射涂层(arc)的方法 | |
TWI410744B (zh) | 用於處理光微影倍縮光罩的方法 | |
IL176808A (en) | Processing by non-pressure combustion combined with dynamic liquid manichas | |
JP2007529895A (ja) | セルフクリーニング式ドライエッチング用システム、方法、並びに、装置 | |
US20050269294A1 (en) | Etching method | |
US8992689B2 (en) | Method for removing halogen-containing residues from substrate | |
KR102476308B1 (ko) | 공극들을 형성하기 위한 시스템들 및 방법들 | |
CN115443530A (zh) | 具有高性能涂层的半导体腔室部件 | |
KR20210049173A (ko) | 에칭 방법 | |
US7569478B2 (en) | Method and apparatus for manufacturing semiconductor device, control program and computer storage medium | |
US20040222188A1 (en) | Method of cleaning a deposition chamber and apparatus for depositing a metal on a substrate | |
US11613808B2 (en) | Clean processes for boron carbon film deposition | |
JP3887123B2 (ja) | ドライエッチング方法 | |
JP7503650B2 (ja) | タングステン含有膜除去のためのシステム及び方法 | |
KR100851455B1 (ko) | 챔버 조건에 대한 공정 민감도를 감소시키는 방법 | |
WO2024111454A1 (ja) | ドライ現像方法及びドライ現像装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20071130 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20101102 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20101109 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110207 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110412 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110627 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20110823 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111226 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20111227 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20120124 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120321 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120615 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20120914 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20121225 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20121228 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130705 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
LAPS | Cancellation because of no payment of annual fees |