JP5204121B2 - 半導体構造及び当該半導体構造の製造方法 - Google Patents

半導体構造及び当該半導体構造の製造方法 Download PDF

Info

Publication number
JP5204121B2
JP5204121B2 JP2009538870A JP2009538870A JP5204121B2 JP 5204121 B2 JP5204121 B2 JP 5204121B2 JP 2009538870 A JP2009538870 A JP 2009538870A JP 2009538870 A JP2009538870 A JP 2009538870A JP 5204121 B2 JP5204121 B2 JP 5204121B2
Authority
JP
Japan
Prior art keywords
sgt
plane
channel
forming
pfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009538870A
Other languages
English (en)
Other versions
JPWO2009057194A1 (ja
Inventor
富士雄 舛岡
建宰 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Unisantis Electronics Singapore Pte Ltd
Original Assignee
Unisantis Electronics Singapore Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unisantis Electronics Singapore Pte Ltd filed Critical Unisantis Electronics Singapore Pte Ltd
Publication of JPWO2009057194A1 publication Critical patent/JPWO2009057194A1/ja
Application granted granted Critical
Publication of JP5204121B2 publication Critical patent/JP5204121B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode

Description

本発明は、主としてSGT CMOS技術に関連する半導体構造及び当該半導体構造の製造方法に関する。
CMOS(complementary metal-oxide semiconductor)技術は、VLSIの要素技術の一つであり、文字通り何千万個ものトランジスタを単一の集積回路として形成することができる。CMOS技術においては、増大しつつあるデバイス密度をさらに高めたいという要請が強い
高性能トランジスタの数を増やすために、SGT(Surrounding Gate Transistor)と呼ばれるFETが提案されている。SGTを用いることによって、短チャネル効果(SCE)が抑えられ、漏れ電流が低下し、理想的なスイッチング動作が見込まれる。また、ゲート領域を大きくできるため、ゲート長を大きくすることなくSGTの電流制御機能を高めることができる。
良好な性能を維持しつつCMOSの寸法縮小を進める方法の一つとして、半導体材料のキャリア移動度を高めることが考えられる。CMOSでは、キャリアとしてnチャネルFETでは電子を、pチャネルFETではホールを用いる。半導体基板に電界を加えると基板内のキャリアは力を受け、電子とホールは電界に沿ってそれぞれ逆方向に加速される。このようなキャリアの速度はドリフト速度と呼ばれ、印加される電界に比例する。このときの比例定数が移動度である。この移動度が高くなるほど電流密度が高くなり、結果としてトランジスタのスイッチング速度が早くなる。
従来のプレーナ型CMOSでは、キャリアの移動度は多くの要素に依存して変化するが、中でもウェハの表面に大きく左右される。すなわち、キャリアは、結晶面によって決定される原子の周期性(原子によって形成されるパターン)に影響される。よって、プレーナ型デバイスは、常に、それが形成される面に依存した移動度を有しており、また、同一の結晶面に形成されたプレーナ型FETの電流面方位を変えても移動度は一定である。
このため従来のCMOS技術では、面方位(100)の面を有するシリコン基板を使用している。(100)面を有するシリコン基板が選ばれる理由は、(a)シリコン基板を(100)面としたときにシリコン基板とシリコン酸化膜との間の表面の状態密度が最小になること、(b)(100)面での電子の移動度が他の結晶面よりも大きく、このため(100)面の半導体基板上に形成されるnチャネルFETのソース−ドレイン電流が最大電流をもたらすことによる。しかしながら、ホールの移動度は(100)面では最大にならないので、(100)面の半導体基板上に形成されるpチャネルFETのソース−ドレイン電流は必然的に小さくなる。このためnチャネルFETが良好な特性を示しても、pチャネルFETでは望ましい特性を有することができない。もしもpチャネルFETを(110)面上に形成すれば、特に高い電界を加えたときにホールの移動度が大きくなる。しかしながら、(110)面では電子の移動度が小さいので、(110)面は従来のプレーナ型CMOSでは使用されていない。従来のプレーナ型CMOSでは、デバイスごとに異なる面を使うことができないため、ホールの移動度の最大化と電子の移動度の最大化との間の妥協の結果として、(100)面を使用することになったとも言える。
図85(a)乃至図85(c)に示すように、SGT CMOSデバイスは、様々な先行技術文献において提案されている(非特許文献1〜3並びに特許文献1を参照)。図85(a)は、SGT CMOSとすることによって、プレーナ型CMOSと比較して、デバイス面積が縮小されることを示している。図85(b)は、回路図及びデバイス構造によるSGT CMOSのレイアウトを示している。
さらに、様々な結晶面を用いるFINFET CMOSデバイスが提案されている(特許文献2及び3を参照)。図85(c)に示すように、FINFET CMOSインバータ300は、PFET302とNFET308からなる一対の組により形成される。ここでPFET302及びNFET308は、それぞれのドレイン306及び312が配線316によって接続されて出力(Out)となり、また、それぞれのゲート305及び311がゲート導体314によって接続されて入力(In)となる。上記一対の組からなるFINFET CMOSインバータ300には、PFET302のソース304に接続されている配線317に沿って電源電圧(Vdd)が供給され、また、NFET308のソース310に接続された配線318によってグランド(Gnd)に接続されている。しかしながら、上記の文献ではいずれも、SGT CMOSを設計する際に、結晶の面方位及びこの面方位と関連する移動度が考慮されていない。
したがって、FETの電流チャネル及びピラー形状に関して、種々の結晶面を利用することによってSGT CMOS技術が改善される余地がある。これにより、特定の用途に応じて、個々のデバイスごとに移動度を最適化し、あるいは移動度を下げることで、望ましいCMOS性能を維持することが可能になると考えられる。
また、CMOS SGTの性能を向上させる別の方法として、シリコンピラーの形状(円形、正方形等)として最適な形状を選択するということが考えられる。シリコンピラーの側壁の面方位によって移動度の値が異なることから、シリコンピラーの形状とその面方向は、移動度に影響を与える。さらに、SGTピラーの形状を変えることによって、デバイスの物理的性質(電界や局所的な移動度など)が変化する。例えば、電界は、端部の曲率半径や全体を縮小したときの構造に局所的に依存するので、局所的な移動度によって決定される垂直方向の電界が、デバイスの性能を著しく変化させる。
特許文献1:米国特許第5,258,635号
特許文献2:米国特許第6,815,277号
特許文献3:米国特許第6,658,259号
非特許文献1:IEEE Trans. Electron Dev.、Vol.38(3)、579-583頁、1991年
非特許文献2:IEDM Tech. Dig.、736頁、1987年
非特許文献3:ジャーナル・オブ・アプライドフィジクス、Vol.43(10)、6904頁、2004年
本発明は、電界効果トランジスタ(FET)の設計及び製造における従来のプレーナ型CMOS技術とは対照的に、複雑なデバイス工程を必要とせずに、従来と同様の基板上にFETのチャネル及びピラーの形状に種々の結晶面を利用して形成されるCMOS SGTを提供する。さらに本発明では、プレーナ型FETの面方位を変化させる設計とは異なり、SGTの面方位を変化させることにより移動度の向上が実現される。よって、同一基板上で種々の結晶面を有する複数のSGTを形成することによって、複数の異なるキャリア移動度が実現され、これにより所望の性能を得ることができる。
本発明の第1の実施形態に関連する半導体構造を形成するための方法は、所定の結晶方位を有する基板を準備するステップと、第1のSGT本体の側壁が第1の電流チャネルを形成するように第1のトランジスタを形成するステップと、を含むことができる。第1のSGT本体の側壁は、第2の結晶方位とされた第1のキャリア移動度の値をもたらすことができ、第2のSGT本体の側壁は、第2の電流チャネルを形成するように、第2のトランジスタを形成する。第2のSGT本体の側壁は、第3の結晶方位とされ、第1のキャリア移動度の値とは異なる第2のキャリア移動度とすることができる。
この実施形態については、多くの例示的なバリエーションがある。第1のバリエーションとして、基板が単結晶シリコンを含むことができ、及び/又は、表面が(110)結晶面及び(100)結晶面の上に方位付けられるものとすることができる。第2のバリエーションとして、第1及び第2のSGT本体の形状は、制限のない側壁面が存在する円形とすることができる。第3のバリエーションとして、第1のSGTの形状は、シリコンピラーの2つの平行な側壁が(n m l)面とされ、シリコンピラーの残りの2つの側壁が(a b c)面とされ(ここで、n、m、l、a、b、cは任意の整数であり、na+bm+cl=0である)、正方形のSGT及び矩形のSGTのうちの一方とすることができる。また、第2のSGTの形状は、シリコンピラーの2つの平行な側壁が(p q r)面とされ、シリコンピラーの残りの2つの側壁が(e f g)面とされ(ここで、p、q、r、e、f、gは任意の整数であり、pe+fg+qr=0である)、正方形のSGT及び矩形のSGTのうちの一方とすることができる。第4のバリエーションとして、第1のSGT本体の形状は、多くの側壁面がSGTピラーの表面に存在する円柱状とすることができ、第2のSGT本体の形状は、シリコンピラーの2つの平行な側壁が(n m l)面とされ、シリコンピラーの残りの2つの側壁が(a b c)面とされ(ここで、n、m、l、a、b、cは任意の整数であり、na+bm+cl=0である)、正方形のSGT及び矩形のSGTのうちの一方とすることができる。第5のバリエーションとして、第1のトランジスタは、第1のpチャネルSGT(PFET)及び第1のnチャネルSGT(NFET)のうちの一方とすることができ、第2のトランジスタは、第2のPFET及び第2のNFETのうちの一方とすることができる。最適化されたキャリア移動度及び最適化されていないキャリア移動度のうちの一方をもたらすように、第1のPFET及び第1のNFETのうちの一方の第1のSGT本体の側壁を形成すること(及び/又は回転させること)ができる。
本発明を一つの観点から見ると、第1の面方位を有する第1の単結晶半導体側壁チャネルと、前記第1の面方位とは異なる第2の面方位を有する第2の単結晶半導体側壁チャネルとを具備し、前記第1の面方位と第2の面方位が、対称性変換によって異なること特徴とする半導体構造である。
前記第1の単結晶半導体側壁チャネルは第1の移動度であり、前記第2の単結晶半導体側壁チャネルは第2の移動度であり、前記第1の移動度の値と前記第2の移動度の値とは異なるものとすることができる。
前記半導体構造は、(100)面及び/又は(110)面のウェハ上に形成されているものとすることができる。
前記第1の単結晶半導体側壁チャネルが、第1のSGTを構成し、前記第2の単結晶半導体側壁チャネルが、第2のSGTを構成することができる。
前記第1のSTGは第1のpチャネルSGT(PFET)及び第2のnチャネルSGT(NFET)のうちの一方であり、前記第2のSGTは第2のpチャネルSGT(PFET)及び第2のnチャネルSGT(NFET)のうちの一方であるようにすることができる。
前記第1のPFET及び前記第1のNFETのうちの一方の側壁が最適化されたキャリア移動度又は最適化されていないキャリア移動度のうちのいずれか一方を有するよう、前記第1の結晶面とされ、前記第2のPFET及び前記第2のNFETのうちの一方の側壁が最適化されていないキャリア移動度又は最適化されたキャリア移動度のうちのいずれか一方を有するよう、前記第2の結晶面とされているものとすることができる。
前記第1のPFET及び前記第1のNFETのうちの一方のすべての側壁の方位が、(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けされ、前記第2のPFET及び前記第2のNFETのうちの一方のすべての側壁が、(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けされているものとすることができる。
前記第1のPFET及び前記第1のNFETの形状は円柱状であり、このうちの一方の側壁は複数の結晶面となるようにされ、前記第2のPFET及び前記第2のNFETのうちの一方のすべての側壁の方位が、(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けられているものとすることができる。
前記半導体構造において、シリコンウェハの(100)面上に形成され、第1の矩形状NFETと第1及び第2の矩形状PFETからなるSGT CMOSを含んでおり、前記第1の矩形状NFETは(100)面を有し、前記第2の矩形状PFETは(110)面にを有しているものとすることができる。
前記半導体構造において、シリコンウェハの(110)面上に形成され、第1の円柱状NFETと第1の長方形状PFETからなるSGT CMOSを含んでおり、前記第1の円柱状NFETの側壁は複数の結晶面となるようにされ、前記第1の長方形状PFETの短い辺の2つの側壁は(100)面とされるとともに、長い辺の2つの側壁は(110)とされているものとすることができる。
前記半導体構造において、シリコンウェハの(110)面上に形成され、第1の円柱状NFETと第1の矩形状PFETからなるSGT CMOSを含んでおり、前記第1の円柱状NFETの側壁は複数の結晶面となるようにされ、前記第1の矩形状PFETの2つの側壁は(100)面とされるとともに、他の2つの側壁は(110)とされているものとすることができる。
前記半導体構造において、前記第1の単結晶半導体の本体及び前記第2の単結晶半導体の本体が、シリコン、ゲルマニウム、シリコンの化合物、ゲルマニウムの化合物、III−V族材料及びII−IV族材料を含むグループから選択される材料により構成されたものとすることができる。
前記半導体構造において、前記第1の単結晶半導体の本体及び前記第2の単結晶半導体の本体が、1010〜1017の間の濃度でドーピングされたものとすることができる。
本発明を一つの観点から見ると、半導体構造の製造方法であって、後にチャネルとして利用される第1の結晶面に方位付けされた表面を有する基板を準備する工程と、第1のSGTピラーが第1のチャネルを形成するように、かつ、該第1のSGTピラーの側壁が第2の結晶面を有するよう方位付けられて該第2の結晶面が第1のキャリア移動度を有するように、第1のトランジスタを形成する工程と、第2のSGTピラーが第2のチャネルを形成するように、かつ、該第2のSGTピラーの側壁が第3の結晶面を有するよう方位付けられて、前記第3の結晶面が、前記第1のキャリア移動度の値とは異なる第2のキャリア移動度を有するように、第2のトランジスタを形成する工とを含むことを特徴とする方法である。
前記方法において、前記基板の表面が、(100)面及び/又は(110)面のとなるよう方位付けられているものとすることができる。
前記方法において、前記第1のトランジスタを形成する工程は、第1の矩形(又は長方形)のSGT本体の側壁が(nml)面の2つの平衡なピラーの側壁と、残りの(abc)面の2つの側壁で方位付けられるように(ここで、n,m,l,a,b,cは任意の整数であり、na+mb+lc=0である)、第1のトランジスタを形成する工程を含み、前記第2のトランジスタを形成する工程は、第2の矩形(又は長方形)のSGT本体の側壁が(pqr)面の2つの平行なピラーの側壁と、残りの(efg)面の2つの側壁で方位付けられるように(ここで、p,q,r,e,f,gは任意の整数であり、pe+qf+rg=0である)、第2のトランジスタを形成するステップを含むものとすることができる。
前記方法において前記第1のトランジスタを形成するステップは、第1の円柱状のSGT本体の側壁が複数の側壁で方位付けられるように、第1のトランジスタを形成するステップを含み、前記第2のトランジスタを形成するステップは、第2の矩形(又は長方形)のSGT本体の側壁が(nml)面の2つの平行な側壁と、残りの(abc)面の2つの側壁が方位付けられるように(ここで、n,m,l,a,b,cは任意の整数であり、na+mb+lc=0である)、第2のトランジスタを形成するステップを含むものとすることができる。
前記方法において、前記第1のトランジスタを形成する工程が、第1のpチャネルSGT(PFET)及び第1のnチャネルSGT(NFET)のうちの一方を形成する工程を含み、前記第2のトランジスタを形成する工程が、第2のpチャネルFET(PFET)及び第2のnチャネルSGT(NFET)のうちの一方を形成する工程を含むものとすることができる。
前記方法において、前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程は、前記第1のSGT本体の側壁が特定の結晶方位とされ、最適化されたキャリア移動度及び最適化されていないキャリア移動度のうちの一方を有するように、前記第1のPEFT及び前記第1のNFETのうちの一方を形成する工程を含み、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程は、前記第2のSGT本体の側壁が特定の結晶方位とされ、最適化されたキャリア移動度及び最適化されていないキャリア移動度のうちの一方を有するように、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程を含むものとすることができる。
前記方法において、前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程が、前記第1のSGT本体の側壁が複数の結晶面に方位付けられて、最適化されたキャリア移動度及び最適化されていないキャリア移動度のうちの一方を有するように、円柱状の前記第1のPFET及び円柱状の前記第1のNFETのうちの一方を形成する工程を含み、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程が、特定の結晶面となるよう方位付けられて最適化されたキャリア移動度及び最適化されていないキャリア移動度のうちの一方を有するように、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程を含むものとすることができる
前記方法において、前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程は、前記第1のSGT本体のすべての側壁が(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けられるように、前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程を含み、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程は、前記第2のSGT本体のすべての側壁が(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けられるように、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程を含むものとすることができる。
前記方法において、前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程は、前記第1のSGT本体のすべての側壁が複数の結晶面となるよう方位付けられるように、前記第1のPFET及び前記第1のNFETのうちの一方を形成する形成を含み、前記第2のPFET及び第2のNFETのうちの一方を形成する工程は、前記第2のSGT本体のすべての側壁が(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けられるように、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程を含むものとすることができる。
前記方法において、1つの正方形状のNMOSと2つの矩形状のPMOSとを含むSGT CMOSをシリコンウェハの(100)面上に形成する工程と、矩形状の前記第1のNFETを(100)面を有するよう方位付けて形成し、矩形状の前記第2のPFETを(110)面を有するよう方位付けて形成する工程とをさらに含むものとすることができる。
1つの円柱状NMOSと1つの矩形状PMOSとを含むSGT CMOSをシリコンウェハの(100)面上に形成する工程と、円柱状の前記第1のNFETを複数の面方位を有するよう方位付けて形成する工程とをさらに含み、矩形状の前記第2のPFETの2つの平行な側壁が(100)面を有するよう方位付けられ、前記矩形状の前記第2のPFETの残りの平行な側壁が(110)面を有するよう方位付けられているものとすることができる。
前記方法において、1つの円柱状NMOSと1つの矩形状PMOSとを含むSGT CMOSをシリコンウェハの(110)面上に形成する工程と、円柱状の前記第1のNFETを複数の面方位を有するよう方位付けて形成する工程とをさらに含み、矩形状の前記第2のPFETの2つの側壁が(100)面を有するよう方位付けられ、前記矩形状の前記第2のPFETの残りの平行な側壁が(110)面を有するよう方位付けられているものとすることができる。
なお、本明細書で、「最適化されている」とは、通常は移動度が最も高くなるようにされることを意味するが、用途によっては、意図的に最も高い移動度よりも低い移動度にする場合があり、そのような場合も指すものとして「最適化されている」という用語を用いている。
本発明の上述した特徴及び他の特徴並びに効果は、添付図面に示すように、本発明の以下のさらに詳細な説明から明らかとなろう。
以下、添付図面を参照して本発明の様々な実施形態を説明する。なお、以下の実施形態において、同様の参照符号は同様の構成を示すために用いられる。
上述したように、本発明は半導体の物理的性質を利用して、特定のデバイスにおいて移動度を最適化するために、あるいは移動度を減少させるために、FETのチャネルのために種々の結晶面を用いる。そこで、最初に、本発明をよりよく理解できるよう、結晶格子及び結晶方位についての概要から説明する。
本発明は、必要とされる具体的なデバイスにおける移動度を最適化しあるいは低下させて所望の性能得るために、FETのチャネル及びピラー形状として種々の結晶面を用いた同じ基板上にCMOS SGTを作製するさまざまな方法において利用することができる。当業者であれば、本発明が、添付図面に示された特定の構造又は本明細書で詳細に説明する具体的なステップに限定されるないことを理解できるだろう。また、デバイスの様々な部位を形成するために選択されるドーパントの種類がそのデバイスの意図された電気的動作と矛盾するものでない限り、本発明が特定種類のドーパントの使用に限定されないことも理解されるだろう。
図1は、シリコンの(100)面のウェハ(図1(a))及び(110)面のウェハ上に形成されたシリコンSGTピラーの側壁のさまざまな方位を示している(Cullity他、“Element of X-Ray Diffraction”、Second Edition、Addison-Wesley Publishing Company、Inc、76頁、1978年、を参照)。図2は、図1に記載されたSGTピラーの側壁の面方位によって電子(図2(a))及びホール(図2(b))の移動度がどのように変化するかを示したグラフである(Sato他、米国特許3,603,848号を参照)。図2において、(100)面のウェハ上のデバイスについては左側のプロット(0°/(011)−45°/(001)の側壁、[100]ゾーン)を用い、(110)面のウェハ上のデバイスについては右側のプロット(0°/(011)−90°/(001)の側壁、[110]ゾーン)を用いる。電流が流れる方向は、いずれのウェハの場合もウェハに垂直な方向である。
図3は、円柱状のSGTの概略図であり、Rは円柱の半径、Lはゲート長、WSGTはゲート幅をそれぞれ示している。図4、図5はそれぞれ、完全な正方形状のSGT(完全な正方形状の断面を有するSGT)の概略図(図4)、及び、端部に丸みを有する正方形状のSGT(端部に丸みを有する正方形状の断面を有するSGT)の概略図(図5)であり、tは正方形のピラーの厚さであり、rは丸みを有する端部の半径、Lはゲート長、WSGTはゲート幅をそれぞれ示している。図6は、0字状のSGT(0字状の断面を有するSGT)の概略図を示す。なお、「0字形状」は、左右にある円形部分と中央部分にある矩形部分とから構成される。ここで、Rは左右の円形部分の半径、tは中央の矩形部分の長さ、Lはゲート長、WSGTはゲート幅をそれぞれ示している。SGTのソース、ゲート及びドレインは、シリコン基板に対して垂直な方向に配置される。ゲート電極は、シリコンピラーを完全に取り囲み、ピラーの側壁に沿ってチャネル領域が形成される。半導体ウェハの寸法は、一例として、ピラーの高さが約20nm〜300nm、ピラーのサイズ(t又はR)が約5nm〜250nmである。
本発明のシミュレーションを目的として(図7乃至図44)、以下の構造を例示的に採用した。シリコンピラーにおけるボディ領域を、NMOS SGTにはホウ素(3.9×1015)を、PMOS SGTにはアルシン(arsine)(3.9×1015)を、一様にドープする。tと2R(シリコンピラーのサイズに関連している)は25nmに設定する。ゲート材料には、オフ電流を抑えて閾値電圧を調整するために、4.65eVの仕事関数を有する金属シリサイドを用いる。ゲート酸化物の厚さは、1nmに設定する。ソース/ドレイン領域の拡散層(その長さ(l)は20nmである)は、PFETについてはホウ素(1×1020)を、NFETについてはアルシン(1×1020)をドープする。なお、このシミュレーションでは量子チャージ閉込め効果(quantum charge confinement effects)は考慮しない。円柱状のNMOS SGT及びPMOS SGTの垂直な側壁を36等分する。すなわち一つのエレメントの方位は、10°ずつ変化する。このように、円柱の側壁を36の多面体により分割することによって、デバイスは、移動度が異なる36個の個別のMOSFETエレメントから構成される。デバイス全体の終端電流(terminal current)は、単一の電流の総和をとることで計算される。
正方形状のSGT及び丸みを持つ正方形状のSGTの垂直な側壁は、それぞれ、図4(b)及び図5(b)における断面図の点線(B−B’)によって示された、4つの三角形501〜504(正方形状SGTの場合)及び8つの多面体(505〜512)に分割される。0字状のSGTの垂直の側壁は、図6(b)の断面図の点線(B−B’)により示される36個の多面体及び1つの正方形(全体として37の別々のMOSFETエレメント)に分割される。デバイスのシミュレーションは、ATLASの3次元シミュレータ(SILVACO)を用いて行う。3次元デバイスシミュレータでは、ボルツマンキャリア統計モデル(Boltzmann carrier statistics model)、ダルウィッシュCVT移動度モデル(Darwish CVT mobility model)、及び、ショックレー−リード−ホール(Shockley-Reed-Hall)再結合モデルを考慮する。
図7(a)、図8(a)、図9(a)は、シリコン(100)面のウェハ上に作製した正方形状のNMOS SGT(それぞれQn200、Qn201、及びQn202)を示す概略図である。図7(b)、図8(b)、図9(b)は、それぞれ図7(a)、図8(a)、図9(a)のB−B’ラインに沿って切った正方形状のNMOS SGT(Qn200、Qn201、Qn202)の断面図である。これらの図には、NMOS SGT(Qn200、Qn201、及びQn202)の側壁方位及び電流方向が示されている。Qn202 NMOS SGTの側壁の方位が、Qn200 NMOS SGTを45°回転させたものであるという点に留意されたい。図7(c)、図8(c)、図9(c)は、それぞれ、図7(a)、図8(a)、図9(a)のラインA−A’に沿って切った得られた正方形状のNMOS SGT(Qn200、Qn201、Qn202)の縦断面図である。図10(a)は、シリコンの(100)面のウェハ上に作製した円柱状のNMOS SGT(Qn203)の概略図である。図10(b)は、図10(a)のラインB−B’に沿って切った正方形状のNMOS SGT(Qn203)の断面図である。図10(c)は、図10(a)のラインA−A’に沿って切った正方形状のNMOS SGT(Qn203)の縦断面図である。
図11は、Vd=0.05Vとして、シリコンの(100)面のウェハ上に作製した、円柱状及び正方形状のNMOS SGT(図7のQn200、図8のQn201、図9のQn202及び図10のQn203)のId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。(100)面の側壁を有する正方形状のNMOS SGTは、円柱状のNMOS SGT及び(110)面の側壁を有する正方形状のNMOS SGTに比べて、同一のVg(on)における単位長さ当たりの電流が大きい。また、完全な正方形状のSGT及び端部に丸みを有する正方形状のSGTのId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)は、ほとんど同じ特徴を示している。図12は、Vd=0.05Vとして、シリコン(100)ウェハの上に作製した円柱状及び正方形状のNMOS SGT(図7のQn200、図8のQn201、図9のQn202、及び図10のQn203)のId−Vg曲線(ゲート電圧に対する単位ピラー当たりの電流)を示している。円柱状のSGTは、正方形状のSGTより短いゲート幅を有しているので、図12の相対的な電流値(ピラーあたりの電流)は、他の正方形状のSGTに比べて、図11の電流値(単位長さ当たりの電流)から著しく低下している。
図13乃至図16は、シリコンウェハの(100)面上に形成した円柱状SGT(図10のQn203)及び正方形状SGT(図7のQn200)について行った詳細な3次元シミュレーションの結果を示している。図13は、Vg=1V及びVd=0.05Vとして、円柱状SGT(図10のQn203)及び正方形状SGT(図7のQn200)のSiの側壁の表面から奥側へ向かって(図7及び図10のC−C’に沿って)電子の密度分布がどのように変化するかを示している。図14は、Vg=1V及びVd=0.05Vとして、円柱状SGT(図10のQn203)及び正方形状SGT(図7のQn200)のSiの側壁の表面から奥側へ向かって(図7及び図10のC−C’に沿って)垂直電界(円柱の表面に対して垂直な電界)がどのように変化するかを示している。図15は、Vg=1V及びVd=0.05Vとして、円柱状SGT(図10のQn203)及び正方形状SGT(図7のQn200)のSiの側壁の表面から奥側へ向かって(図7と図10のC−C’に沿って)局所的な移動度がどのように変化するかを示している。図16(c)は、円柱状SGT(図10のQn203)及び正方形状SGT(図7のQn200)について、垂直電界に対してダルウィッシュ移動度がどのように変化するかを示している。なお、ポアソン方程式及びドリフト拡散輸送方程式を解いて、電位及び電子密度分布を算出した。
図13及び図14から分かるように、電子密度及び垂直電界は、円柱状SGT及び正方形状SGTのいずれについても、x軸(表面からの距離)に沿って互いに類似した分布を示している。しかしながら、側壁の面方位及び垂直電界に依存する円柱状及び正方形状のSGTのローカルダルウィッシュ移動度は、大きな違いを示しており、これによってId−Vg曲線(図11)のデバイス性能の差が生じる。すなわち、電流の値は電子密度及び移動度に比例するので、同じゲート電圧(Vg=1V)のときの正方形状SGTのId−Vg曲線(図11のQn200)の電流は、円柱状SGTのId−Vg曲線(図11のQn203)の電流よりも大きい。上記パラメータについてより詳しい関係を理解するために、ダルウィッシュCVTモデル及びドリフト拡散輸送モデルの以下の式を参照する。
ダルウィッシュCVT:
ここで、
は移動度であり、
は、音響フォノンでの散乱による表面移動度であり、
は、光学フォノンの谷内散乱(intervalley scattering)による移動度であり、
は、表面粗さ係数であり、
は、垂直電界である。さらに、a、b、c、d、e、f及びgは、ドーピング、温度、面方位等の依存する部分である定数又はパラメータである。
ドリフト拡散輸送モデル:
ここで、qは電子の電荷であり、μT,nは電子の移動度であり、nは電子の密度であり、Фnは、擬フェルミ準位である(Darwish他、“An Improved Electron and Hole Mobility Model for General Purpose Device Simulation”、IEEE Electron Devices、vol 44、No. 9、1997年9月、1529頁、及び、“ATLAS User's Manual: Device Simulation Software”、Silvaco International、2006年8月、3-26頁、を参照)。
図17(a)は、シリコンウェハの(100)面上に作製したNMOS SGT(Qn204)の概略図であり、図17(b)は、図17(a)のラインB−B’に沿って切ったNMOS SGT(Qn204)の断面図であり、図17(c)は、図17(a)のラインA−A’に沿って切ったNMOS SGT(Qn204)の断面図である。図18は、シリコンウェハの(100)面に作製したNMOS SGT(Qn204)の、Vd=0.05VとしたときのId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。図19は、シリコンウェハの(100)面に作製したNMOS SGT(Qn204)の、Vd=0.05VとしたときのId−Vg曲線(ゲート電圧に対するピラー当たりの電流)である。
図20(a)、図21(a)、図22(a)は、それぞれ、シリコンウェハの(100)面に作製した正方形状PMOS SGT(それぞれQp200、Qp201、及びQp202)の概略図である。図20(b)、図21(b)及び図22(b)は、それぞれ、図20(a)、図21(a)及び図22(a)のラインB−B’に沿って切った正方形状PMOS SGT(Qp200、Qp201、及びQp202)の断面図である。これらの図には、PMOS SGT(Qp200、Qp201、及びQp202)の側壁の面方位及び電流の方向が示されている。なお、Qp202 NMOS SGTの側壁の方位は、Qp200 NMOS SGTを45°回転したものである。図20(c)、図21(c)及び図22(c)は、それぞれ、図20(a)、図21(a)及び図22(a)のラインA−A’に沿って切った正方形PMOS SGT(Qp200、Qp201、及びQp202)の断面図である。図23(a)は、シリコンウェハの(100)面に作製した円柱状PMOS SGT(Qp203)の概略図、図23(b)は、図23(a)のラインB−B’に沿って切った正方形状PMOS SGT(Qp203)の断面図、図23(c)は、図23(a)のラインA−A’に沿って切った正方形状のPMOS SGT(Qp203)の断面図である。
図24は、シリコンウェハの(100)面に作製された円柱状及び正方形状のPMOS SGT(図20のQp200、図21のQp201、図22のQp202、及び図23のQp203)の、Vd=0.05VとしたときのId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)を示している。(110)面の側壁を有する正方形状PMOS SGTでは、円柱状PMOS SGT及び(100)面の側壁を有する正方形状のPMOS SGTに比べて、同一のVg(on)における単位長さ当たりの電流が大きくなっている。また、完全な正方形状SGTと丸みを付けた正方形状SGTのId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)は、ほとんど同じ性能を示していいる。図25は、Vd=0.05Vにおいてシリコンウェハの(100)面上に作製された、円柱状及び正方形状のPMOS SGT(図20のQp200、図21のQp201、図22のQp202、及び図23のQp203)のId−Vg曲線(ゲート電圧に対するピラー当たりに電流)を示している。
図26(a)は、シリコンウェハの(100)面に作製されたPMOS SGT(Qp204)の概略図であり、図26(b)は、図26(a)のラインB−B’に沿って切ったPMOS SGT(Qp204)の断面図であり、図26(c)は、図26(a)のラインA−A’に沿って切ったPMOS SGT(Qp204)の断面図である。図27は、シリコンウェハの(100)面に作製されたPMOS SGT(Qp204)の、Vd=0.05VとしたときのId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。図28は、Vd=0.05Vにおいてシリコンウェハの(100)面に作製されたPMOS SGT(Qp204)のId−Vg曲線(ゲート電圧に対するピラー当たりの電流)である。
図29(a)は、シリコンウェハの(110)面に作製された正方形状のNMOS SGT(Qn210)の概略図、図29(b)は、図29(a)のラインB−B’に沿って切ったNMOS SGT(Qn210)の断面図である。図29(b)には、SGTの側壁の面方位及び電流の方向が示されている。なお、シリコンウェハの(110)面上に作製されたSGTの電流方向は、シリコンウェハの(100)面上に作製されたSGTの<100>方向ではなく、<110>である。図29(c)は、図29(a)のラインA−A’に沿って切ったNMOS SGT(Qn210)の断面図である。図30(a)は、シリコンウェハの(110)面上に作製された円柱状のNMOS SGT(Qn211)の概略図、図30(b)は、図30(a)のラインB−B’に沿って切ったNMOS SGT(Qn211)の断面図、図30(c)は、図30(a)のラインA−A’に沿って切ったNMOS SGT(Qn211)の断面図である。図31(a)及び図32(a)は、シリコンウェハの(110)面上に作製されたNMOS SGT(Qn212とQn213)の概略図である。図31(b)及び図32(b)は、それぞれ、図31(a)及び図32(a)のラインB−B’に沿って切ったNMOS SGT(Qn212とQn213)の断面図である。図31(c)及び図32(c)は、それぞれ、図31(a)及び図32(a)のラインA−A’に沿って切ったNMOS SGT(Qn212とQn213)の断面図である。図33は、シリコンウェハの(110)面上に作製されたNMOS SGT(図29のQn210、図30のQn211、図31のQn212、及び図32のQn213)の、Vd=0.05VとしたときのId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。図34は、シリコンウェハの(110)面上に作製されたNMOS SGT(図29のQn210、図30のQn211、図31のQn212、及び図32のQn213)の、Vd=0.05VとしたときのId−Vg曲線(ゲート電圧に対するピラー当たりの電流)である。
図35(a)は、シリコンウェハの(110)面上に作製された正方形状PMOS SGT(Qp210)の概略図、図35(b)は、図35(a)のラインB−B’に沿って切ったPMOS SGT(Qp210)の断面図、図35(c)は、図35(a)のラインA−A’に沿って切ったPMOS SGT(Qp210)の断面図である。図36(a)は、シリコンウェハの(110)面上に作製された円柱状PMOS SGT(Qp211)の概略図、図36(b)は、図36(a)のラインB−B’に沿って切ったPMOS SGT(Qp211)の断面図、図36(c)は、図36(a)のラインA−A’に沿って切ったPMOS SGT(Qp211)の断面図である。図37(a)及び図38(a)は、それぞれ、シリコンウェハの(110)面上に作製されたPMOS SGT(Qp212とQp213)の概略図である。図37(b)及び図38(b)は、それぞれ、図37(a)及び図38(a)のラインB−B’に沿って切ったPMOS SGT(Qp212とQp213)の断面図である。図37(c)及び図38(c)は、それぞれ、図37(a)及び図38(a)のラインA−A’に沿って切ったPMOS SGT(Qp212とQp213)の断面図である。図39は、シリコンウェハの(110)面上に作製されたPMOS SGT(図35のQp210、図36のQp211、図37のQp212、及び図38のQp213)の、Vd=0.05VとしたときのId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。図40は、シリコンウェハの(110)面上に作製されたPMOS SGT(図35のQp210、図36のQp211、図37のQp212、及び図38のQp213)の、Vd=0.05VとしたときのId−Vg曲線(ゲート電圧に対するピラー当たりの電流)である。
図41(a)及び図42(a)は、シリコンウェハの(110)面に作製された矩形状PMOS SGT(Qp220及びQp221)の概略図である。図41(b)及び図42(b)は、それぞれ、図41(a)及び図42(a)のラインB−B’に沿って切ったPMOS SGT(Qp220とQp221)の横断面図である。図41(c)及び図42(c)は、それぞれ、図41(a)及び図42(a)のラインA−A’に沿って切ったPMOS SGT(Qp220とQp221)の縦断面図である。図43は、シリコンウェハの(110)面上に作製された、矩形状及び正方形状のPMOS SGT(図35のQp210、図41のQp220、及び図42のQp221)のVd=0.05VのときのId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。図44は、シリコンウェハの(110)面上に作製された矩形状及び正方形状のPMOS SGT(図35のQp210、図41のQp220、及び図42のQp221)のVd=0.05VのときのId−Vg曲線(ゲート電圧に対するピラー当たりの電流)である。
図45は、PMOS SGT及びNMOS SGTの様々な組み合わせによるCMOS SGTの電流値の絶対値(単位長さ当たりの電流及びピラー当たりの電流)を示した表である。形状を変化させ、そして形状を回転させることにより、様々な単位幅当たりの電流及び単位ピラー当たりの電流を有するCMOSの組合せが得られる。この図には合計で18種類のCMOSの組み合せの例が示されており、各CMOSの組み合わせは、図7乃至図44に示すようにピラー形状及び対応する面方位がすべて異なっている。各SGTのId−Vg曲線の電流の絶対値は、Vg−Vth=0.6V及びVd=0.05Vにおいて得られたものである。
図46は、図45に示した様々なCMOS SGTの組合せの電流値を、正規化して示した表である。ここでは、円柱状NMOS(図10のQn203)の電流の絶対値(Vg−Vth=0.6V、Vd=0.05のときの)を、基準値(=100)として選択した。ここで、閾値電圧(Vth)は、単位ゲート幅当たりのドレイン電流が10-10A/nmのときのゲート電圧として定義した。特定の用途に応じてデバイスの移動度が最適化されあるいは低下されるように、必要なCMOSを図46の組み合わせから選択することができる。図46に示すCMOSの組み合せは、NMOS及びPMOSデバイスの数が1個ずつでなければならないことを意味するものではない。1個のNMOSと1個のPMOSからCMOSを構成できることはもちろん、用途に応じて例えば1つのNMOSと2つのPMOSからCMOSを構成することもできる。従来技術に係るSGT CMOS(図85(a)乃至図85(b))では、図46における組合せ53又は図46における組合せ54のいずれかのように、NMOSとPMOSの間において同じ面のSGT構造を用いていた。
図47及び図48は、図46に示したCMOSの組み合せ例のうちの2つの例を示している。ここで、図47(a)はシリコンウェハの(100)面上に作製されたCMOS SGTインバータ(図46の組合せ52)の回路図、図47(b)は、同図(a)のCMOS SGTインバータに対応したレイアウト図である。図47のCMOSインバータは、1つの正方形状NMOSピラー(Qn1、4つの側壁はすべて(100)面上で方位が決められている)及び45°回転させた2つの正方形状PMOSピラー(Qp1及びQp2、各正方形状PMOSの4つの側壁はすべて(110)面上で方位が決められている)により構成されている。PMOS SGTとNMOS SGTは、それぞれのドレインがローカル配線によって接続されて出力(Vout、1)とされ、また、ゲート導体によってそれぞれのゲートが接続されて入力(Vin、1)とされる。接続されたCMOSは、PMOSのソースに接続されたローカル配線に沿って電源電圧(Vdd、1)が供給され、ローカル配線に接続されたNMOSのソースからグランド(Gnd1)に接続される。このようにして直列の組み合わせがVdd、1とGnd1の間に接続されている。図47に示したレイアウトが選択されている理由は、このようなレイアウトにすることによってNMOSデバイス、PMOSデバイスのいずれもが優れたデバイス性能(すなわちId−Vg曲線の電流レベル)を示すからである。図47に示した典型的なインバータでは、NMOSのId−Vg曲線の電流レベルがPMOSのId−Vg曲線の電流レベルより遥かに大きいので、1つの正方形状NMOSピラー(Qn1)と2つの正方形状PMOSピラー(Qp1とQp2)を必要とする。
図48(a)は、シリコンウェハの(110)面上に作製されたCMOS SGTインバータ(図46の組合せ66に対応する)の回路図である。図48(b)は同図(a)のCMOS SGTインバータに対応するレイアウト図である。図48のCMOSインバータは、1つの円柱状NMOSピラー(Qn3)と1つの矩形状PMOSピラー(Qp3)より構成されている。矩形状PMOSの2つの平行な側壁(長い側)は(110)面、他の2つの平行な側壁(短い側)は(100)面とされている。PMOS SGT及びNMOS SGTは、それぞれのドレインがローカル配線で接続されて出力(Vout、3)とされ、それぞれのゲートがゲート導体によって接続されて入力(Vin、3)とされる。接続されたCMOSは、PMOSのソースに接続されたローカル配線に沿って電源電圧(Vdd、3)が供給され、ローカル配線に接続されたNMOSのソースからグランド(Gnd3)に接続されている。このようにして直列の組み合わせがVdd、3とGnd1の間に接続されている。図48に示したレイアウトが選択される理由は、円柱状NMOSデバイスが矩形状PMOSと類似のデバイス性能(Id−Vg曲線の電流レベル)を示し、しかもCMOSデバイスとしても高い性能を示すからである。図48に示したCMOSの組合せは、(図47のように1つのNMOSピラーと2つのPMOSピラーから構成されるのではなく)1つのNMOSピラーと1つのPMOSピラーから構成されており、これにより、図47に示したCMOSの組み合わせと比べてセルのサイズが大幅に縮小する。セルのサイズが縮小することにより、MPUやDRAM等の高度な電子デバイスの実装密度が高まり、配線抵抗が低下し、したがって高速なスイッチング速度を有する高性能CMOSデバイスを実現することができる。上記のようにセルのサイズを縮小させること(あるいは、NMOSデバイスとPMOSデバイスの間の電流レベルを等しくすること)は、本願発明に基づいて、異なる結晶面を用いることによって達成される。従来のプレーナ型CMOSデバイスでは、PMOSデバイスとNMOSデバイスの電流レベルを等しくするために、PMOSのチャネル幅をNMOSデバイスよりも長くなるように作製する必要があった。
図49乃至図54は、SGT CMOSデバイスの性能(特にオフ電流での挙動)がシリコン本体のドーピングにどのように依存するかを示している。図49は、シリコンウェハの(110)面に作製されたSGT CMOS(図46のCMOS組合せ61)の平面図と、これに対応する回路図を示している。図50は、シリコンウェハの(110)面上のSGT CMOS(シリコン本体ドーピングが3×1018)の平面図と、これに対応する回路図である。シリコン本体のドーピング濃度を除き、デバイス構造と図50のシミュレーション条件は、図49におけるものと全く同一である。言い換えると、図49の場合にはNMOS及びPMOS(それぞれQn101のNa及びQp101のNd)のシリコン本体のドーピング濃度は3.9×1015に設定されるが、図50の場合には、NMOS及びPMOS(それぞれQn100のNa及びQp100のNd)のシリコン本体のドーピング濃度は、3×1018に設定される。図51は、シリコン本体のドーピング濃度をNd=3×1018とした正方形状PMOS SGT(Qp100)デバイスのオフ電流状態(Ioff=1012A/nm)での電子密度を示している。図52は、シリコン本体のドーピング濃度をNd=3.9×1015とした正方方形状のPMOS SGT(Qp101)デバイスのオフ電流状態(Ioff=1012A/nm)での電子密度を示している。図53は、シリコン本体のドーピング濃度をNa=3×1018とした円柱状NMOS SGT(Qn100)のオフ電流状態(Ioff=1012A/nm)でのホール密度を示している。図54は、シリコン本体のドーピング濃度をNa=3.9×1015とした円柱状NMOS SGT(Qn101)のオフ電流状態(Ioff=1012A/nm)でのホール密度を示している。図51に示すように、低チャネルドーピングSGT(図52)に比べ、高いチャネルドーピングSGT(図51)について強いコーナー効果(すなわち角部におけるキャリアの蓄積)が発生している。また、円柱状SGT(図35)よりも正方形状SGT(図51)においてより強いコーナー効果が見られるのは、正方形状SGTがその4つのコーナー(角部)において4つの垂直な角度を有しているからである。このコーナー効果は、好ましくないデバイス遮断(cutoff)特性を引き起こすことが知られている。(Song他、“Design Optimization of Gate-All-Around (GAA) MOSFETs”、IEEE. Trans. Nanotechnology、 vol 5、No.3、2006年3月、186-1221頁、を参照)。したがって、低いチャネル濃度(3.9×1015)を用いると、コーナー効果による悪影響が著しく軽減される。特に、シリコン本体のドーピング濃度を1010から1017という低い値にすると、コーナー効果が軽減してデバイス性能が向上するので、本発明としては好ましい実施形態である。本体ドーピングをさらに低くするとVth変動が抑えられ、移動度が向上する。
図55(a)は、シリコンウェハの(110)面に作製したCMOS SGTデバイス構造(図46のCMOS組合せ66)の回路図であり、図55(b)は、図55(a)に対応する構造の平面図である。図55(c)乃至図55(f)は、図55(b)のラインB−B’、C−C’、D−D’に沿ってそれぞれ切ったCMOS SGTデバイスの断面図である。NMOSシリコンピラー128(Qn5)及びPMOSシリコンピラー129(Qp5)は、半導体114上に作製され、ゲート酸化物131及びゲート導体132によって囲まれている。NMOS(Qn5)とPMOS(Qp5)はトレンチ部115によって分離され、それぞれ底部ドレイン118、116、上部ソース138、137によって構成されている。自己整合により形成されたシリサイド(サリサイド)120、139及び金属ライン152が、ドレイン電圧(Vdd55)からグランド(Gnd55)にCMOS SGT装置を接続する。導体同士の分離は、誘電体材料150、130を用いて行われる。PMOS(Qp5)及びNMOS(Qn5)は、それぞれのドレインがローカル配線によって接続されて出力(Vout5)が得られ、それぞれのゲートはゲートの導体132部分によって接続されて入力(Vin5)が得られる。これらは、PFET(Qp5)のソースに接続されたローカルな配線に沿って電源電圧(Vdd5)が供給され、ローカル配線に接続されたNEFT(Qn5)のソースからグランド(Gnd5)に接続される。このようにして直列の組み合わせがVdd、5とGnd5の間に接続されている。
実際の多面CMOS SGT(図55)のデバイス構造を実現するための本発明に係る好ましい方法100を、図56に示する。図57は、それぞれの直線が互いに直交するように2回の露光を行って、シリコンウェハ上にナノサイズの四角形のハードマスクをパターニングする方法を示している。図58〜図84の(a)は、図56に示した作製方法を実行している間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示している。図58〜84の(b)は、それぞれ、図58〜図84の(a)に示した平面図のラインA−A’に沿って切った断面図である。
本発明の製造方法100では、概略以下に述べるステップによってCMOS SGTが形成される。まず、表面が第1の結晶方位であるサブストレートを用意する。この面は、後にチャネルとして利用される。次に、第1のSGTボディの側壁が第1の電流チャネルを形成し、かつ、その第1のSGTボディの側壁が、第1のキャリア移動度を有する第2の結晶方位となるように、第1のトランジスタを形成する。そして、第2のSGTボディの側壁が第2の電流チャネルを形成し、かつ、その第2のSGTボディの側壁が、前記第1のキャリア移動度とは異なる第2のキャリア移動度を有する第3の結晶方位となるように、第2のトランジスタを形成する。
より具体的には、図56の方法100の第1のステップ102において、後にFETの電流チャネルとして使うことができる例えば(110)面や(100)面などの第1の結晶面を用意する。結晶格子の配列は、基板の電気的性質(例えばキャリアの移動度)などの基板材料としての性質に大きな影響を与える。後に説明するように、例えば(110)面又は(100)面の表面を有する基板を設けることによって、方法100によりSGTを形成し、その後に形成される面をFETの電流チャンネルとして利用することができる。
したがって、本発明の方法100によって、例えば(100)面、(110)面、(111)面といった側壁表面の任意の組合せに関して、nチャネルSGT(NFET)とpチャネルSGT(PFET)によるどのような組合せをも製造することができる。NFETの場合、電子の移動度は、(100)面のシリコンウェハ上の正方形状SGTの(100)面の側壁に関して最適化され、ホールの移動度は、(100)面のシリコンウェハ上の正方形状SGTの(110)面の側壁に関して最適化される。また、NFETの場合、電子の移動度は、円柱状SGTでは(110)面のシリコンウェハの様々な面に関して低下し、ホールの移動度は、矩形状SGTでは(110)面のシリコンウェハの(110)及び(100)側壁面に関して最適化される。
図2に示すように、移動度は、同じ側壁面でも、電流の方向に依存して大きく異なる(例えば、(110)面においてチャネルが<110>方向でのホール移動度は230cm/Vsであるが、(110)面においてチャネルが<100>方向でのホール移動度は148cm/Vsである)。SGTの場合、電流方向はシリコンウェハの方位によって決まる(換言すれば、(110)面シリコンウェハ上に形成されたSGTデバイスの電流は<110>方向となるが、(100)面のシリコン上に形成されたSGTデバイスの電流は<100>方向となる)。(100)面、(110)面及び(111)面という側壁は非常に有用な面であるが、本発明の方法100を用いることによって、基板表面から220°回転させた表面の多くの組み合わせを実現することができる。すなわち、方法100により得られる等価な面は、(100)面のシリコンウェハ上の(n m 0)面又は(110)面のシリコンウェハ上の(a a b)と表すことができる。ここで、n、m、a、b等は任意の整数である((100)面のシリコンウェハ及び(110)面のシリコンウェハ上に作製されたSGTの様々な利用可能な側壁面に関連した図1を参照)。したがって、本発明の方法100を、特定のデバイスにおいて、必要に応じてキャリアの移動度を最適化したり移動度を低減させるのに利用することができる。
ここで図57を参照して、シリコンピラーのエッチングのために四角形のハードマスク87を作製する方法について説明する。フォトレジストをナノサイズ(30μm未満のサイズ)の線やスペースにパターニングすることは不可能ではないが、実際にナノサイズの四角形のフォトレジスト86(30μm未満のサイズ)をパターニングすることは、光リソグラフィの分解能の制約のために容易ではない。四角形にパターニングされたフォトマスクを用いて1回の露光により形成される四角形のフォトレジストは、角部が丸みを帯びるか、又は、円柱状になる傾向がある。
本発明では、2つのフォトマスク81−1、81−2(30μm未満のサイズ)を用いて2回の露光を行うことで、より完全に近い四角形のフォトレジストパターン86を形成する。最初のステップでは、図57(a)に示すように、ハードマスク薄膜83を堆積する。ハードマスク薄膜83は、エッチングのストップ層として機能し、必要に応じてCMOS SGT製造プロセスの全体にわたって用いられる。ハードマスク薄膜83の材料として好ましい実施例は、窒化シリコン(Si34)又は二酸化ケイ素(SiO2)である。次のステップでは、ハードマスク薄膜83をパターニングし、エッチングする。これにはこの分野で周知の任意の技術を用いることができる。例えば、適当なフォトレジスト82の薄層でハードマスク薄膜83の表面をカバーし、直線とスペースのパターンを有するフォトマスク81を通して、紫外線光を通過させ、フォトレジスト(図57(a))のマスクされていない領域を通して露光する。そして、フォトマスク81を90°回転させてさらに紫外線で露光すると、フォトレジストの正方形(又は長方形)の領域85のみが露光されないことになる(図57(b))。露光されたフォトレジストを現像液により除去すると、ハードマスク83上にはフォトレジストの領域85だけが残される。後に明らかとなるように、SGT側壁の面(これには電子又はホールの移動度が関係する)の方位は、このマスクがどのような方向に設けられるかによって決められる。
最初に、本発明の方法100にしたがって予め決められたマスクを選択することにより、異なるデバイスごとにシリコンピラーの特定の結晶側壁面を指定することができる。フォトレジストで覆われたハードマスク薄膜83の上に小さなSGTの形が形成されたら、ハードマスク薄膜83に対して適切な方向性を持ったエッチングを実行し、これによりハードマスクフィルムに小さな四角形のパターン形状87が形成される。この後、フォトレジスト86を適当な化学プロセスによって取り除くと、図57(e)(f)に示すように半導体上にハードマスクフィルム87が形成される。
図58乃至図84は、図56の方法100を実行している間における本発明の一実施形態に係る半導体構造の断面図と、これに対応したリソグラフィマスクを示している。図58に示した基板を参照する。ここで基板114は、単結晶のバルクからなるシリコンウェハ、あるいはSOI(Silicon On Insulator)ウェハとすることができる。SOIウェハとした場合でも、必要な処理は、分離プロセス等が異なることを除いて、バルク状のシリコンウェハの場合と基本的に同様である。
さらに図58では、ウェハ114は、単純なものとして示されているが、より複雑な形態のウェハを用いることもできる。ウェハ114としては、Si、Ge、GaP、InAs、InP、SiGe、GaAsその他のIII−V族化合物の他、ウェハとして適切な材料であればどのようなものでも使用できる(但し、これらに限定されることはない)。ウェハ114の表面は、後にFETの電流チャネルのための面として利用される第1の結晶面とする。具体的には、好ましい実施例として、単結晶の(110)面及び/又は(100)面とする。
図59及び図60に示す次の段階では、ハードマスク121を用いて半導体114に対して異方性エッチングを行って、分離部(isolation)115を形成する。図57に関して説明したように、シリコンウェハ114上でのハードマスク121のパターニングには、分離マスク(図59(a)のマスク1)が用いられる。シリコンのエッチング(図60に示す)は、半導体114をエッチングするのに相応しい反応性イオンエッチング(RIE)によって行うことができる。
続いて図61及び図62に示すように、方法100のステップ104において、基板にトレンチ分離部115を設けた後に、半導体ウェハ114から1つ又は複数のSGTピラー128、129を形成する。このピラー128、129(すなわちピラー本体)は、トランジスタの本体部となる。基板上には任意の数のピラー(ひいてはSGT)を形成することができ、これらのピラーは、上記で説明したいずれの技術を用いても形成することができる。ここでは、段階104の好ましい態様として、以下に説明する方法でピラーを半導体ウェハ114から形成することができる。
第1の段階では、図61に示すマスク2を用いてハードマスク113の薄層をパターニングする。ハードマスク113(Si34又はSiO2)は、エッチングストップ層として働く。次に、ハードマスク113を用い、半導体114に対して異方性エッチングを行うことにより、シリコンピラー128、129が形成される。これには、半導体114をエッチングする適切な反応性イオンエッチング(RIE)プロセスを用いてることができる。その結果、図62に示すようにピラーが残り、これらのピラーには半導体114の部分を含まれ、かつ、上にはハードマスクフィルムが重なった状態となる。そして、これらのピラーは、互いに向かい合う垂直な側壁122、123を有する。
以前の段階でマスクの方向を決めておいたことによって特定の結晶面を持った側壁122、123が形成される。これにより、必要に応じて、両方のキャリアの移動度を最適化したり、又は必要に応じて移動度を下げることが可能となり、これによって意図した通りの性能を実現することができる。したがって、ピラー本体の側壁122、123の結晶方位を、意図したとおり異なる移動度を持ったものとすることができる。また、側壁122を第1の結晶面とし、側壁123を、対称変換しても当該第1結晶面とは等価ではない第2の結晶面とすることができる。さらに、側壁122、123を、最適化したキャリア移動度のキャリア移動度及び最適化されていないキャリア移動度(すなわち、最適化状態より小さいキャリア移動度)のうちのいずれかとすることもできる。
ピラーは、必要に応じてドーピングされる。このドーピングは一般にピラーへのイオン注入によって行われ、これによりpウェル構造(pウェル)及びnウェル構造(nウェル)が形成される。pウェル及びnウェルのドーピングレベルは、典型的には、1017cm-3から5×1018cm-3の範囲である。他の選択肢として、井戸構造を形成せずに真性(intrinsic)のシリコンウェハを使用してNFET及びPFETを形成する方法もありうる。本発明のCMOS技術において、例えば共通の基板にNFET及びPFETを集積化するために、真性シリコンウェハが使用される。
以上は、半導体114から1つ又は複数のピラーを形成するステップ104(図56)を実行する1つの好ましい方法である。後述のように、ピラー本体においてゲートが設けられる側壁は電流チャネルを形成し、ピラーのこのチャネルの両側の非ゲート領域は、ソース領域及びドレイン領域となる。電流チャネルを形成するSGTの側壁は、特定方位の第1の面122を形成し、ピラー本体の側壁は、対称変換しても第1の面とは等価でない第2の面123とされる。さらに、ピラー本体の側壁122、123の面方位を、最適化されたキャリア移動度及び最適化されないキャリア移動度(すなわち最適化されたものより小さい移動度)のうちのいずれかを持つような結晶面とすることができる。また、SGTの側壁を、(100)、(110)及び(111)といった面方位を任意に組み合わせたものとすることもできる。ここで(110)面は、PFETについてキャリア移動度が最適化され、(100)面は、NFETについてキャリア移動度が最適化される。さらに、第1のSGT本体の形状についてはその表面に種々の結晶面が存在する円柱状とし、第2のSGTについては正方形又は矩形として、シリコンピラーの2組の平行な側壁が(100)、(110)及び(111)の各面の任意の組み合わせとなるようとすることができる。
図63は、半導体114にアクセプタ116が注入されて、底部PMOSドレイン領域が形成される様子を示している。このとき、フォトマスク(マスク3)を用いてフォトリソグラフィ技術により形成されたマスク117(例えば窒化シリコン又は二酸化シリコン)によって、NMOS領域はマスクされる。すなわち、アクセプタ116の選択的な注入である。続いてアクセプタマスク117(図63)が除去され、図64に示すように、フォトマスク(マスク4)を用いたフォトリソグラフィにより、ドーパントのためのマスク125が基板114の上に形成される。そして、ドーパント118が半導体114に注入され、底部NMOSドレイン領域が形成される。注入されるアクセプタ及びドーパントの量及び配分は、設計時に選択される。ソース及びドレインの各領域(S/D領域)の形成には、これまでに知られている種々の方法を用いることができる。S/D領域の形成には様々な方法が存在し、それによって様々なレベルの複雑さを持ったS/D領域を形成することができる。本発明のいくつかの実施形態では、S/D領域の形成に、イオン注入を用いる。これにより、NFETについては、例えばP、As又はSbを、エネルギ1〜5keV、ドーズ量5×1014〜2×1015cm-3でS/D領域に注入する。PFETについては、例えばB、In、又はGaを、エネルギ0.5〜3keV、ドーズ量5×1014〜2×1015cm-3でS/D領域に注入する。
図65乃至図67は、ドレインのサリサイド(セルフアラインされたシリサイド)のコンタクトを形成する方法を示している。最初のステップでは、図64に示すドーパントマスク125を除去し、続いて誘電体材料127を堆積する。シリコンピラーの上部はハードマスク113によって覆われており、さらにこの上が図65に示すように一様に誘電体材料127で覆われる。次のステップでは、従来からのスペーサ形成技術(すなわち一様なRIEエッチング)を用いて、シリコンピラーを誘電体材料119で覆う。図67に示す最終ステップでは、セルフアラインによるシリサイドコンタクト(サリサイド)120が、NMOSデバイス領域及びPMOSデバイス領域の底部ドレイン領域に形成される。抵抗率及びコンタクト抵抗が低いシリサイドで現在用いられているものは、例えば、TiSi2、CoSi2及びNiSiのC54相(C54 phase)である。
図68及び図69を参照する。化学的機械研磨(CMP)とその後のエッチバックプロセスを用いて、平坦な窒化物層(又は酸化物層)130を、シリコンピラーの高さより低く堆積する。そのためにまず、厚い窒化物の層を、シリコンピラーの上まで堆積し、これを化学的機械研磨法(CMP)によって平坦化する(図68)。そして研磨された窒化物層126を、図69に示すようにプラズマエッチングによってエッチバックする。このプロセスの目的は、ゲートと底部のドレインのオーバラップ部と間の寄生抵抗を低減させることである(さらに詳細な情報については、Kunz他、“Reduction of Parasitic Capacitance in Vertical MOSFETs by Spacer Local Oxidation”、IEEE Electron Devices、vol 50、No. 6, 2003年6月、1487頁、を参照)。
次に図70及び図71を参照して、方法100のステップ106乃至109の、ゲートスタックの形成について説明する。まずステップ106では、対向する垂直の側壁122及び123にゲート絶縁層131を形成する。このゲート絶縁層131の形成は、750乃至800℃の温度で熱酸化により形成することができる他、誘電体の薄層を堆積させることによっても形成できる。ゲート絶縁層131としては、従来から知らされいるように、SiO2、窒化酸化物材料、ハイケー(high-K)誘電体材料、あるいはこれらの組合せを用いることができる。ゲート絶縁層131が形成された後に、ゲート導電層132を堆積する。この堆積には、既知のフォトリソグラフィ技術及びエッチング技術を用いることができる。ゲート導電層132には一般には多結晶シリコン材料が用いられるが、任意の適当な導電材料、例えばアモルファスシリコン、アモルファスシリコンとポリシリコンとの組合せ、ポリシリコンゲルマニウム、その他の適当な材料を用いることもできる。さらに、金属ゲート導電層132として、W、Mo、Taなどの高融点金属を用いることができる他、Ni又はCoを加えたポリシリコンからなるシリサイドゲート導体を使用することができる。
ゲート導電層132がシリコン材料を囲むステップ108において、かかる層をドープされた層(in-situドーピング)として堆積させることができる。ゲート導電層132が金属層である場合は、物理蒸着法、化学蒸着法、その他の方法で堆積させることができる。このように、半導体114によって形成されたピラーの側壁122、123の上に酸化物層131が形成され、その上にゲート構造が形成される。次に、図72及び図73に示すように、CMPエッチストップ層133(例えば窒化物層)及び厚い酸化物層134を堆積する。続くCMPプロセスでこの酸化物層134を、CMPストップ層133に到達するまで研磨する(図74)。次のステップ109では、プラズマエッチングを用いて、露出したCMPストップ層/ゲート導電層をエッチバックすることにより、ゲート導電層のパターンを形成する(図75)。
続いて、方法100のステップ110によって、図76乃至図84に示すSGTが完成する。まず、シリコンのエピタキシャル層135を堆積し(図76)、フォトリソグラフィ技術(図77のマスク6)及びエッチング技術を用いてパターニングする。そして、図78に示すように、アクセプタ137をエピタキシャルシリコン層135に注入して、上部PMOSソース領域を形成する。この注入はアクセプタ137の選択的注入であることから、この注入の際には、フォトマスク(図78のマスク7)を用いてフォトリソグラフィで形成したマスク136を用いてNMOS領域をマスクする。この後、アクセプタマスク136(図78)を除去し、フォトマスク(図79のマスク8)を用いたフォトリソグラフィでドーパントのためのマスク140を形成する(図79)。次に、ドーパント138をエピタキシャルシリコン層135に注入して、上部NMOSソース領域を形成する。そして、注入マスク140を取り除いた後(図80)、図81に示すように、NMOSデバイス領域及びPMOSデバイス領域の両方の上部ソース領域にセルフアラインにより金属シリサイド139を形成する。
さらにステップ110を続行し、図82乃至図84に示すように、ソース、ドレイン及びゲートに対するコンタクトを形成する。まず、金属間誘電体150を堆積し、CMPプロセスなどを用いて平坦化する(図82)。そして図83に示すように、フォトマスク(マスク9)を用いて行う異方性プロセス(例えばRIE)等によって、コンタクトホール151を形成する。続いて、金属層を堆積し、フォトマスク(マスク10)及びRIEプロセス等を用いて金属層152を形成する(図84)。この金属層の形成には、ダマシンプロセス(damascene process)を用いて行うこともできる。
本発明に係る、FET電流チャネル及びピラー形状に様々な結晶面を用いて同一の基板上に形成した複数のCMOS SGTは、多くの様々な回路、例えば、高性能ロジックデバイス、低パワーロジックデバイス、あるいは高密度メモリデバイスといったような回路(高密度マルチギガビットDRAMを含む)において用いることができるものである。さらには、本発明に係るCMOS SGTは、他の素子、例えば、コンデンサ、抵抗及びメモリセルといったような他の素子と容易に組み合わせることができるものである。
本発明は、半導体構造及び半導体構造の製造方法に適用するのに好適である。
(a)は(100)面のシリコンウェハ、(b)は(110)面のシリコンウェハの上に作製されたシリコンピラーの側壁の面方位を示す概略断面図である。 (a)は、トランジスタの活性領域の結晶面とこの領域を流れる電子の移動度との関係を示すグラフであり、(b)は、トランジスタの活性領域の結晶面とこの領域を流れるホールの移動度との関係を示すグラフである(米国特許3,603,848号より引用)。 (a)は、円柱状のSGTの概略図、(b)は、(a)のラインB−B’に沿って切った円柱状SGTの断面図、(c)は、(a)のラインA−A’に沿って切った円柱状SGTの断面図である。 (a)は、完全な正方形状のSGTの概略図である。(b)は、(a)のラインB−B’に沿って切った完全な正方形状のSGTの断面図である。(c)は、(a)のラインはA−A’に沿って切った完全な正方形状のSGTの断面図である。 (a)は、端部に丸みを有する正方形状のSGTの概略図である。(b)は、(a)のラインB−B’に沿って切った端部に丸みを有する正方形状のSGTの断面図である。(c)は、(a)のラインA−A’に沿って切った端部に丸みを有する正方形状のSGTの断面図である。 (a)は、0字状のSGTの概略図である。(b)は、(a)のラインB−B’に沿って切った0字状のSGTの断面図である。(c)は、(a)のラインA−A’に沿って切った0字状のSGTの断面図である。 (a)は、シリコン(100)ウェハの上に作製された完全な正方形状のNMOS SGT(Qn200)の概略図である。(b)は、(a)のラインB−B’に沿って切ったNMOS SGT(Qn200)の断面図であり、NMOS SGT(Qn200)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn200)の断面図である(C−C’は、シリコンピラーの表面からの距離である)。 (a)は、シリコン(100)ウェハの上に作製された端部に丸みをすうる正方形状のNMOS SGT(Qn201)の概略図である。(b)は、(a)のラインB−B’に沿って切ったNMOS SGT(Qn201)の断面図であり、NMOS SGT(Qn201)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn201)の断面図である。 (a)は、シリコン(100)ウェハの上に作製された完全な正方形状のNMOS SGT(Qn202)の概略図である。(b)は、(a)のラインB−B’に沿って切ったNMOS SGT(Qn202)の断面図であり、NMOS SGT(Qn202)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn202)の断面図である。 (a)は、シリコン(100)ウェハの上に作製された円柱状のNMOS SGT(Qn203)の概略図である。(b)は、図10(a)のラインB−B’に沿って切ったNMOS SGT(Qn203)の断面図であり、NMOS SGT(Qn203)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn203)の縦断面図である(C−C’は、シリコンピラーの表面からの距離である)。 d=0.05Vにおいてシリコン(100)ウェハの上に作製された円柱状及び正方形状のNMOS SGT(図7のQn200、図8のQn201、図9のQn202、及び図10のQn203)のId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)を示す。 d=0.05Vにおいてシリコン(100)ウェハの上に作製された円柱状及び正方形状のNMOS SGT(図7のQn200、図8のQn201、図9のQn202、及び図10のQn203)のId−Vg曲線(ゲート電圧に対する単位ピラー当たりの電流)である。 ゲート電圧=1V、Vd=0.05Vにおいてシリコン表面(図7のC−C’と図10)からの距離に対する円柱状及び正方形状のNMOS SGT(図7のQn200と図10のQn203)の電子密度を示す。 ゲート電圧=1V、Vd=0.05Vにおいてシリコン表面(図7のC−C’と図10)からの距離に対する円柱状及び正方形状のNMOS SGT(図7のQn200と図10のQn203)の垂直電界を示す。 ゲート電圧=1V、Vd=0.05Vにおけるシリコン表面(図7のC−C’と図10)からの距離に対する円柱状及び正方形状のNMOS SGT(図7のQn200と図10のQn203)のローカルなダルウィッシュ移動度を示す。 円柱状及び正方形状のNMOS SGT(図7のQn200と図10のQn203)の垂直電界に対するダルウィッシュ移動度値を示す。 (a)は、シリコン(100)ウェハの上に作製されたNMOS SGT(Qn204)の概略図である。(b)は、図17(a)のラインB−B’に沿って切ったNMOS SGT(Qn204)の断面図であり、NMOS SGT(Qn204)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn204)の断面図である。 d=0.05Vにおいてシリコン(100)ウェハの上に作製されたNMOS SGT(図17のQn204)のId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。 d=0.05Vにおいてシリコン(100)ウェハの上に作製されたNMOS SGT(図17のQn204)のId−Vg曲線(ゲート電圧に対する単位ピラー当たりの電流)である。 (a)は、シリコン(100)ウェハの上に作製された完全な正方形状のPMOS SGT(Qp200)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp200)の断面図であり、PMOS SGT(Qp200)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp200)の断面図である。 (a)は、シリコン(100)ウェハ上で作製された端部に丸みを有する正方形状のPMOS SGT(Qp201)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp201)の断面図であり、PMOS SGT(Qp201)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp201)の断面図である。 (a)は、シリコン(100)ウェハの上に作製された完全な正方形状のPMOS SGT(Qp202)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp202)の断面図であり、PMOS SGT(Qp202)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp202)の断面図である。 (a)は、シリコン(100)ウェハの上に作製された円柱状のPMOS SGT(Qp203)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp203)の断面図であり、PMOS SGT(Qp203)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp203)の断面図である。 d=−0.05Vにおいてシリコン(100)ウェハの上に作製された円柱状及び正方形状のPMOS SGT(図20のQp200、図21のQp201、図22のQp202、及び図23のQp203)のId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。 d=−0.05Vにおいてシリコン(100)ウェハの上に作製された円柱状及び正方形状のPMOS SGT(図20のQp200、図21のQp201、図22のQp202、及び図23のQp203)のId−Vg曲線(ゲート電圧に対する単位ピラー当たりの電流)である。 (a)は、シリコン(100)ウェハの上に作製されたPMOS SGT(Qp204)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp204)の断面図であり、PMOS SGT(Qp204)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp204)の断面図である。 d=0.05Vにおいてシリコン(100)ウェハの上に作製されたPMOS SGT(図26のQp204)のId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。 d=0.05Vにおいてシリコン(100)ウェハの上に作製されたPMOS SGT(図26のQp204)のId−Vg曲線(ゲート電圧に対する単位ピラー当たり電流)である。 (a)は、シリコン(110)ウェハの上に作製された完全な正方形状のNMOS SGT(Qn210)の概略図である。(b)は、(a)のラインB−B’に沿って切ったNMOS SGT(Qn210)の断面図であり、NMOS SGT(Qn210)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn210)の断面図である。 (a)は、シリコン(110)ウェハの上に作製された円柱状のNMOS SGT(Qn211)の概略図である。(b)は、(a)のラインB−B’に沿って切ったNMOS SGT(Qn211)の断面図であり、NMOS SGT(Qn211)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn211)の断面図である。 (a)は、シリコン(110)ウェハの上に作製されたNMOS SGT(Qn212)の概略図である。(b)は、(a)のラインB−B’に沿って切ったNMOS SGT(Qn212)の断面図であり、NMOS SGT(Qn212)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn212)の断面図である。 (a)は、シリコン(110)ウェハの上に作製されたNMOS SGT(Qn213)の概略図である。(b)は、(a)のラインB−B’に沿って切ったNMOS SGT(Qn213)の断面図であり、NMOS SGT(Qn213)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったNMOS SGT(Qn213)の断面図である。 d=0.05Vにおいてシリコン(110)ウェハの上に作製されたNMOS SGT(図29のQn210、図30のQn211、図31のQn212、及び図32のQn213)のId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。 d=0.05Vにおいてシリコン(110)ウェハの上に作製されたNMOS SGT(図29のQn210、図30のQn211、図31のQn212、及び図32のQn213)のId−Vg曲線(ゲート電圧に対する単位ピラーあたりの電流)である。 (a)は、シリコン(110)ウェハの上に作製された完全な正方形状のPMOS SGT(Qp210)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp210)の断面図であり、PMOS SGT(Qp210)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp210)の断面図である。 (a)は、シリコン(110)ウェハの上に作製された円柱状のPMOS SGT(Qp211)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp211)の断面図であり、PMOS SGT(Qp211)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp211)の断面図である。 (a)は、シリコン(110)ウェハの上に作製されたPMOS SGT(Qp212)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp212)の断面図であり、PMOS SGT(Qp212)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp212)の断面図である。 (a)は、シリコン(110)ウェハの上に作製されたPMOS SGT(Qp213)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp213)の断面図であり、PMOS SGT(Qp213)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp213)の断面図である。 d=0.05Vにおいてシリコン(110)ウェハの上に作製されたPMOS SGT(図35のQp210、図36のQp211、図37のQp212、及び図38のQp213)のId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。 d=0.05Vにおいてシリコン(110)ウェハの上に作製されたPMOS SGT(図35のQp210、図36のQp211、図37のQp212、及び図38のQp213)のId−Vg曲線(ゲート電圧に対する単位ピラー当たりの電流)である。 シリコン(110)ウェハの上に作製された矩形状のPMOS SGT(Qp220)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp220)の断面図であり、PMOS SGT(Qp220)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp220)の断面図である。 (a)は、シリコン(110)ウェハの上に作製された矩形状のPMOS SGT(Qp221)の概略図である。(b)は、(a)のラインB−B’に沿って切ったPMOS SGT(Qp221)の断面図であり、PMOS SGT(Qp221)の側壁の方位及び電流の方向を示す。(c)は、(a)のラインA−A’に沿って切ったPMOS SGT(Qp221)の断面図である。 d=0.05Vにおいてシリコン(110)ウェハの上に作製された矩形状のPMOS SGT(図41のQp220と図42のQp221)のId−Vg曲線(ゲート電圧に対する単位長さ当たりの電流)である。 d=0.05Vにおいてシリコン(110)ウェハの上に作製された矩形状のPMOS SGT(図41のQp220と図42のQp221)のId−Vg曲線(ゲート電圧に対する単位ピラー当たりの電流)である。 様々なCMOS SGTの組合せの絶対電流値(単位長さ当たりの電流、単位ピラー当たりの電流)を示す表であり、各々のCMOSの組み合わせが図7乃至図44に示すような異なったピラーの形状及びこれに対応する面の方位を有する、全体として18のCMOSの組み合わせを示す(各々のSGTのId−Vg曲線の絶対電流値は、Vg−Vth=0.6V及びVd=0.05Vにおいて得られる。閾値電圧(Vth)は、単位ゲート幅当たりのドレイン電流が10-10A/nmであるときのゲート電圧によって定められる)。 図45から算出された様々なCMOS SGTの組合せの正規化した電流値を示す表である(Vg−Vth=0.6V及びVd=0.05における円柱状のNMOS(図10のQn203)のId−Vg曲線の絶対電流値は、電流密度及び単位ピラー当たり電流の両方の基準値(=100)として選ばれている)。 (a)は、シリコン(100)ウェハの上に作製されたCMOS SGTインバータ(図46の組合せ52)の回路図である。(b)は、CMOS SGTインバータ(図47a)の等価な模式図である。 (a)は、シリコン(110)ウェハの上に作製されたCMOS SGTインバータ(図46の組合せ66)の回路図である。(b)は、CMOS SGTインバータ(図48a)の等価な模式図である。 (a)は、シリコン(110)ウェハの上に作製されたCMOS SGTインバータ(図46の組合せ61)の回路図である。(b)は、CMOS SGTインバータ(図49a)の等価な模式図である(CMOS SGTインバータは、1つの円柱状のNMOS(図30のQn211)と1つの正方形状のPMOS(図35のQp210)とを含み、シリコン(110)ウェハの上に形成される)。 (a)は、シリコン(110)ウェハの上に作製されたCMOS SGTインバータの回路図である(シリコンボディドーピングを除いて、デバイス構造及びシミュレーション条件は、図49(図46の組合せ61)と同一である。高シリコンボディドーピング(3×1018)は、NMOS(Qn100のNa)デバイス及びPMOS(Qp100のNd)デバイスの両方に適用されている)。 3×1018という高いボディドーピングを有する正方形状のPMOS SGT(図50のQp100)の一定の電子密度輪郭を示す模式図である。 3.9×1015という低いボディドーピングを有する正方形状のPMOS SGT(図49のQp101)の一定の電子密度輪郭を示す模式図である。 3×1018という高いボディドーピングを有する円柱状のNMOS SGT(図50のQn100)の一定の電子密度輪郭を示す模式図である。 3.9×1015という低いボディドーピングを有する円柱状のNMOS SGT(図49のQn101)の一定の電子密度輪郭を示す模式図である。 (a)及び(b)は、完成したCMOS SGTデバイス構造(図46のCMOS組合せ66)の回路図及びこれに対応する平面図である。(c)乃至図(f)は、図(b)のラインB−B’、C−C’、D−Dに沿って切った、完成したCMOS SGTデバイスを示す断面図である。 本発明の製造方法を示すフロー図である。 各々が複数の直線を含んでいる2つの直交した露光を使用して、シリコンウェハの上にナノサイズの正方形状の(矩形状の)ハードマスクをパターン付けするためのリソグラフ法を示す平面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 (a)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造の平面図、及び、これに対応するリソグラフィマスクを示す。(b)は、図56に示す製造方法の間における本発明の一実施形態に係る半導体構造を示す断面図である。 従来のプレーナ型CMOSと比較してデバイス領域の低減を説明するための従来技術に係るSGT CMOSを示す。 従来技術に係るSGT CMOSインバータの回路図及びデバイス構造を示す。 FET電流チャネルに様々な結晶面を利用した従来技術に係るFINFET CMOSインバータの概略を示す。

Claims (13)

  1. 第1の面方位を有する第1の単結晶半導体側壁チャネルと、
    前記第1の面方位とは異なる第2の面方位を有する第2の単結晶半導体側壁チャネルとを具備し、
    前記第1の面方位と第2の面方位が対称性変換によって異なり、
    前記第1の単結晶半導体側壁チャネルは第1のSGTの一部であり、前記第2の単結晶半導体側壁チャネルは第2のSGTの一部であり、
    前記第1のSGTは、第1のpチャネルSGT(PFET)又は第1のnチャネルSGT(NFET)のうちの一方であり、前記第2のSGTは、第2のpチャネルSGT(PFET)又は第2のnチャネルSGT(NFET)のうちの一方であり、
    前記第1のPFETと前記第1のNFETのうちの一つのすべての側壁は結晶の(100)面、(110)面、(111)面のうちの任意の組み合わせであり、
    前記第2のPFETと前記第2のNFETのうちの一つのすべての側壁は結晶の(100)面、(110)面、(111)面のうちの任意の組み合わせであることを特徴とする、半導体構造。
  2. シリコンウェハの(100)面上に形成され、第1の矩形状NFETと第1及び第2の矩形状PFETからなるSGT CMOSを含んでおり、
    前記第1の矩形状NFETは(100)面を有し、前記第2の矩形状PFETは(110)面を有している、請求項1に記載の半導体構造。
  3. 第1の面方位を有する第1の単結晶半導体側壁チャネルと、
    前記第1の面方位とは異なる第2の面方位を有する第2の単結晶半導体側壁チャネルとを具備し、
    前記第1の面方位と第2の面方位が対称性変換によって異なり、
    前記第1の単結晶半導体側壁チャネルは第1のSGTの一部であり、前記第2の単結晶半導体側壁チャネルは第2のSGTの一部であり、
    前記第1のSGTは、第1のpチャネルSGT(PFET)又は第1のnチャネルSGT(NFET)のうちの一方であり、前記第2のSGTは、第2のpチャネルSGT(PFET)又は第2のnチャネルSGT(NFET)のうちの一方であり、
    第1の円柱状PFET及び第1の円柱状NFETのうちの一方の側壁は複数の結晶面で方位付けられ第2のPFET及び第2のNFETのうちの一方のすべての側壁は、(100)面、(110)面、(111)面の任意の組み合わせの結晶面を有していることを特徴とする半導体構造。
  4. シリコンウェハの(110)面上に形成され、第1の円柱状NFETと第1の長方形状PFETからなるSGT CMOSを含んでおり、
    前記第1の円柱状NFETの側壁は複数の結晶面となるようにされ、前記第1の長方形状PFETの短い辺の2つの側壁は(100)面とされるとともに、長い辺の2つの側壁は(110)とされていることを特徴とする、請求項2に記載の半導体構造。
  5. シリコンウェハの(110)面上に形成され、第1の円柱状NFETと第1の矩形状PFETからなるSGT CMOSを含んでおり、
    前記第1の円柱状NFETの側壁は複数の結晶面となるようにされ、前記第1の矩形状PFETの2つの側壁は(100)面とされるとともに、他の2つの側壁は(110)とされていることを特徴とする、請求項2に記載の半導体構造。
  6. 半導体構造の製造方法であって、
    後にチャネルとして利用される第1の結晶面に方位付けされた表面を有する基板を準備する工程と、
    第1のSGTピラーが第1のチャネルを形成するように、かつ、該第1のSGTピラーの側壁が第2の結晶面を有するよう方位付けられて該第2の結晶面が第1のキャリア移動度を有するように、第1のトランジスタを形成する工程と、
    第2のSGTピラーが第2のチャネルを形成するように、かつ、該第2のSGTピラーの側壁が第3の結晶面を有するよう方位付けられて、前記第3の結晶面が、前記第1のキャリア移動度の値とは異なる第2のキャリア移動度を有するように、第2のトランジスタを形成する工程とを含み、
    前記基板の表面が、(110)面となるよう方位付けられていることを特徴とする方法。
  7. 半導体構造の製造方法であって、
    後にチャネルとして利用される第1の結晶面に方位付けされた表面を有する基板を準備する工程と、
    第1のSGTピラーが第1のチャネルを形成するように、かつ、該第1のSGTピラーの側壁が第2の結晶面を有するよう方位付けられて該第2の結晶面が第1のキャリア移動度を有するように、第1のトランジスタを形成する工程と、
    第2のSGTピラーが第2のチャネルを形成するように、かつ、該第2のSGTピラーの側壁が第3の結晶面を有するよう方位付けられて、前記第3の結晶面が、前記第1のキャリア移動度の値とは異なる第2のキャリア移動度を有するように、第2のトランジスタを形成する工程とを含み、
    前記第1のトランジスタを形成するステップは、第1の円柱状のSGT本体の側壁が複数の側壁で方位付けられるように、第1のトランジスタを形成するステップを含み、
    前記第2のトランジスタを形成するステップは、第2の矩形(又は長方形)のSGT本体の側壁が(nml)面の2つの平行な側壁と、残りの(abc)面の2つの側壁が方位付けられるように(ここで、n,m,l,a,b,cは任意の整数であり、na+mb+lc=0である)、第2のトランジスタを形成するステップを含む、
    ことを特徴とする方法。
  8. 半導体構造の製造方法であって、
    後にチャネルとして利用される第1の結晶面に方位付けされた表面を有する基板を準備する工程と、
    第1のSGTピラーが第1のチャネルを形成するように、かつ、該第1のSGTピラーの側壁が第2の結晶面を有するよう方位付けられて該第2の結晶面が第1のキャリア移動度を有するように、第1のトランジスタを形成する工程と、
    第2のSGTピラーが第2のチャネルを形成するように、かつ、該第2のSGTピラーの側壁が第3の結晶面を有するよう方位付けられて、前記第3の結晶面が、前記第1のキャリア移動度の値とは異なる第2のキャリア移動度を有するように、第2のトランジスタを形成する工程とを含み、
    前記第1のトランジスタを形成する工程が、第1のpチャネルSGT(PFET)及び第1のnチャネルSGT(NFET)のうちの一方を形成する工程を含み、
    前記第2のトランジスタを形成する工程が、第2のpチャネルFET(PFET)及び第2のnチャネルSGT(NFET)のうちの一方を形成する工程を含み、
    前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程が、前記第1のSGT本体の側壁が複数の結晶面に方位付けられて、最適化されたキャリア移動度及び最適化されていないキャリア移動度のうちの一方を有するように、円柱状の前記第1のPFET及び円柱状の前記第1のNFETのうちの一方を形成する工程を含み、
    前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程が、特定の結晶面となるよう方位付けられて最適化されたキャリア移動度及び最適化されていないキャリア移動度のうちの一方を有するように、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程を含む、
    ことを特徴とする方法。
  9. 半導体構造の製造方法であって、
    後にチャネルとして利用される第1の結晶面に方位付けされた表面を有する基板を準備する工程と、
    第1のSGTピラーが第1のチャネルを形成するように、かつ、該第1のSGTピラーの側壁が第2の結晶面を有するよう方位付けられて該第2の結晶面が第1のキャリア移動度を有するように、第1のトランジスタを形成する工程と、
    第2のSGTピラーが第2のチャネルを形成するように、かつ、該第2のSGTピラーの側壁が第3の結晶面を有するよう方位付けられて、前記第3の結晶面が、前記第1のキャリア移動度の値とは異なる第2のキャリア移動度を有するように、第2のトランジスタを形成する工程とを含み、
    前記第1のトランジスタを形成する工程が、第1のpチャネルSGT(PFET)及び第1のnチャネルSGT(NFET)のうちの一方を形成する工程を含み、
    前記第2のトランジスタを形成する工程が、第2のpチャネルFET(PFET)及び第2のnチャネルSGT(NFET)のうちの一方を形成する工程を含み、
    前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程は、前記第1のSGT本体のすべての側壁が(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けられるように、前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程を含み、
    前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程は、前記第2のSGT本体のすべての側壁が(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けられるように、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程を含む、
    ことを特徴とする方法。
  10. 1つの正方形状のNMOSと2つの矩形状のPMOSとを含むSGT CMOSをシリコンウェハの(100)面上に形成する工程と、
    矩形状の前記第1のNFETを(100)面を有するよう方位付けて形成し、矩形状の前記第2のPFETを(110)面を有するよう方位付けて形成する工程と、
    をさらに含むことを特徴とする、請求項9に記載の方法。
  11. 半導体構造の製造方法であって、
    後にチャネルとして利用される第1の結晶面に方位付けされた表面を有する基板を準備する工程と、
    第1のSGTピラーが第1のチャネルを形成するように、かつ、該第1のSGTピラーの側壁が第2の結晶面を有するよう方位付けられて該第2の結晶面が第1のキャリア移動度を有するように、第1のトランジスタを形成する工程と、
    第2のSGTピラーが第2のチャネルを形成するように、かつ、該第2のSGTピラーの側壁が第3の結晶面を有するよう方位付けられて、前記第3の結晶面が、前記第1のキャリア移動度の値とは異なる第2のキャリア移動度を有するように、第2のトランジスタを形成する工程とを含み、
    前記第1のトランジスタを形成する工程が、第1のpチャネルSGT(PFET)及び第1のnチャネルSGT(NFET)のうちの一方を形成する工程を含み、
    前記第2のトランジスタを形成する工程が、第2のpチャネルFET(PFET)及び第2のnチャネルSGT(NFET)のうちの一方を形成する工程を含み、
    前記第1のPFET及び前記第1のNFETのうちの一方を形成する工程は、前記第1のSGT本体のすべての側壁が複数の結晶面となるよう方位付けられるように、前記第1のPFET及び前記第1のNFETのうちの一方を形成する形成を含み、
    前記第2のPFET及び第2のNFETのうちの一方を形成する工程は、前記第2のSGT本体のすべての側壁が(100)面、(110)面及び(111)面のいずれかを組み合わせたものとなるよう方位付けられるように、前記第2のPFET及び前記第2のNFETのうちの一方を形成する工程を含む、
    ことを特徴とする方法。
  12. 1つの円柱状NMOSと1つの矩形状PMOSとを含むSGT CMOSをシリコンウェハの(100)面上に形成する工程と、
    円柱状の前記第1のNFETを複数の面方位を有するよう方位付けて形成する工程と、をさらに含み、
    矩形状の前記第2のPFETの2つの平行な側壁が(100)面を有するよう方位付けられ、前記矩形状の前記第2のPFETの残りの平行な側壁が(110)面を有するよう方位付けられていることを特徴とする、請求項11に記載の方法。
  13. 1つの円柱状NMOSと1つの矩形状PMOSとを含むSGT CMOSをシリコンウェハの(110)面上に形成する工程と、
    円柱状の前記第1のNFETを複数の面方位を有するよう方位付けて形成する工程と、をさらに含み、
    矩形状の前記第2のPFETの2つの側壁が(100)面を有するよう方位付けられ、前記矩形状の前記第2のPFETの残りの平行な側壁が(110)面を有するよう方位付けられている、請求項11に記載の方法。
JP2009538870A 2007-10-29 2007-10-29 半導体構造及び当該半導体構造の製造方法 Active JP5204121B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2007/071052 WO2009057194A1 (ja) 2007-10-29 2007-10-29 半導体構造及び当該半導体構造の製造方法

Publications (2)

Publication Number Publication Date
JPWO2009057194A1 JPWO2009057194A1 (ja) 2011-03-10
JP5204121B2 true JP5204121B2 (ja) 2013-06-05

Family

ID=40590604

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009538870A Active JP5204121B2 (ja) 2007-10-29 2007-10-29 半導体構造及び当該半導体構造の製造方法

Country Status (2)

Country Link
JP (1) JP5204121B2 (ja)
WO (1) WO2009057194A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9450026B2 (en) 2014-03-24 2016-09-20 Kabushiki Kaisha Toshiba Semiconductor device

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8183628B2 (en) 2007-10-29 2012-05-22 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US8598650B2 (en) 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
JP5317343B2 (ja) 2009-04-28 2013-10-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
SG165252A1 (en) 2009-03-25 2010-10-28 Unisantis Electronics Jp Ltd Semiconductor device and production method therefor
JP5032532B2 (ja) 2009-06-05 2012-09-26 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
KR101077789B1 (ko) 2009-08-07 2011-10-28 한국과학기술원 Led 디스플레이 제조 방법 및 이에 의하여 제조된 led 디스플레이
JP5006378B2 (ja) * 2009-08-11 2012-08-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
JP5006379B2 (ja) * 2009-09-16 2012-08-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
JP4987926B2 (ja) * 2009-09-16 2012-08-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
KR101113692B1 (ko) 2009-09-17 2012-02-27 한국과학기술원 태양전지 제조방법 및 이에 의하여 제조된 태양전지
JP5356970B2 (ja) * 2009-10-01 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
WO2011111662A1 (ja) 2010-03-08 2011-09-15 日本ユニサンティスエレクトロニクス株式会社 固体撮像装置
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
JP5066590B2 (ja) 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置とその製造方法
JP5087655B2 (ja) 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
US8772175B2 (en) 2011-12-19 2014-07-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
JP5312656B2 (ja) * 2012-08-29 2013-10-09 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置
US9024376B2 (en) 2013-01-25 2015-05-05 Unisantis Electronics Singapore Pte. Ltd. Vertical transistor with dielectrically-isolated work-function metal electrodes surrounding the semiconductor pillar
US9484460B2 (en) 2013-09-19 2016-11-01 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having gate dielectric surrounding at least some of channel region and gate electrode surrounding at least some of gate dielectric
JP6527831B2 (ja) * 2016-03-02 2019-06-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置
JP6527839B2 (ja) * 2016-06-01 2019-06-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6337633A (ja) * 1986-07-31 1988-02-18 Nec Corp 半導体集積回路装置
JPH0878533A (ja) * 1994-08-31 1996-03-22 Nec Corp 半導体装置及びその製造方法
JP2000208434A (ja) * 1999-01-06 2000-07-28 Infineon Technol North America Corp 半導体素子をパタ―ン化する方法および半導体デバイス
JP2000357736A (ja) * 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
JP2001352047A (ja) * 2000-06-05 2001-12-21 Oki Micro Design Co Ltd 半導体集積回路
JP2004153246A (ja) * 2002-10-10 2004-05-27 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2005012213A (ja) * 2003-06-17 2005-01-13 Internatl Business Mach Corp <Ibm> 低漏洩ヘテロ接合垂直トランジスタおよびその高性能デバイス
JP2006514392A (ja) * 2003-03-18 2006-04-27 株式会社東芝 相変化メモリ装置
WO2006127586A2 (en) * 2005-05-23 2006-11-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6337633A (ja) * 1986-07-31 1988-02-18 Nec Corp 半導体集積回路装置
JPH0878533A (ja) * 1994-08-31 1996-03-22 Nec Corp 半導体装置及びその製造方法
JP2000208434A (ja) * 1999-01-06 2000-07-28 Infineon Technol North America Corp 半導体素子をパタ―ン化する方法および半導体デバイス
JP2000357736A (ja) * 1999-06-15 2000-12-26 Toshiba Corp 半導体装置及びその製造方法
JP2001352047A (ja) * 2000-06-05 2001-12-21 Oki Micro Design Co Ltd 半導体集積回路
JP2004153246A (ja) * 2002-10-10 2004-05-27 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2006514392A (ja) * 2003-03-18 2006-04-27 株式会社東芝 相変化メモリ装置
JP2005012213A (ja) * 2003-06-17 2005-01-13 Internatl Business Mach Corp <Ibm> 低漏洩ヘテロ接合垂直トランジスタおよびその高性能デバイス
WO2006127586A2 (en) * 2005-05-23 2006-11-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9450026B2 (en) 2014-03-24 2016-09-20 Kabushiki Kaisha Toshiba Semiconductor device

Also Published As

Publication number Publication date
JPWO2009057194A1 (ja) 2011-03-10
WO2009057194A1 (ja) 2009-05-07

Similar Documents

Publication Publication Date Title
JP5204121B2 (ja) 半導体構造及び当該半導体構造の製造方法
US8183628B2 (en) Semiconductor structure and method of fabricating the semiconductor structure
TWI698002B (zh) 積體電路裝置與記憶體陣列
US9502531B2 (en) Semiconductor device having fin-type field effect transistor and method of manufacturing the same
US6967351B2 (en) Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6492212B1 (en) Variable threshold voltage double gated transistors and method of fabrication
US6657259B2 (en) Multiple-plane FinFET CMOS
JP5489992B2 (ja) 電界効果トランジスタ・デバイスの製造方法
US8815659B2 (en) Methods of forming a FinFET semiconductor device by performing an epitaxial growth process
CN108231562B (zh) 逻辑单元结构和方法
TWI662625B (zh) 半導體元件及其製作方法
JP2009038201A (ja) 半導体装置および半導体装置の製造方法
TW200807629A (en) CO-integration of multi-gate fet with other fet devices in CMOS technology
US8847324B2 (en) Increasing ION /IOFF ratio in FinFETs and nano-wires
US11251267B2 (en) Vertical transistors with multiple gate lengths
JP2022552850A (ja) 選択的なエピタキシ再成長によるゲートオールアラウンドi/o形成のための方法
TWI544624B (zh) 具有最大化柔度及自由表面鬆弛的鍺及iii-v族通道半導體裝置及其製造方法
Valasa et al. A critical review on performance, reliability, and fabrication challenges in nanosheet FET for future analog/digital IC applications
US20210242351A1 (en) Efficient three-dimensional design for logic applications using variable voltage threshold three-dimensional cmos devices
US9666717B2 (en) Split well zero threshold voltage field effect transistor for integrated circuits
CN107045986B (zh) 在FinFET器件上形成应变沟道区的方法
US11183591B2 (en) Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities
CN106876393B (zh) 半导体器件及其形成方法
TW202129766A (zh) 水平gaa奈米線及奈米平板電晶體
TWI459559B (zh) 半導體構造及該半導體構造之製造方法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20110927

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20110921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130214

R150 Certificate of patent or registration of utility model

Ref document number: 5204121

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250