JP2022552850A - 選択的なエピタキシ再成長によるゲートオールアラウンドi/o形成のための方法 - Google Patents

選択的なエピタキシ再成長によるゲートオールアラウンドi/o形成のための方法 Download PDF

Info

Publication number
JP2022552850A
JP2022552850A JP2022523178A JP2022523178A JP2022552850A JP 2022552850 A JP2022552850 A JP 2022552850A JP 2022523178 A JP2022523178 A JP 2022523178A JP 2022523178 A JP2022523178 A JP 2022523178A JP 2022552850 A JP2022552850 A JP 2022552850A
Authority
JP
Japan
Prior art keywords
gate
region
fins
contact
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022523178A
Other languages
English (en)
Inventor
ベンジャミン コロンボー,
マティアス バウアー,
ナヴェド アハメド スィディキ,
フィリップ スタウト,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022552850A publication Critical patent/JP2022552850A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate

Abstract

ゲートオールアラウンドの非I/OデバイスとI/Oデバイス用のフィン状構造とを持つ電子デバイス、及び該電子デバイスを形成する方法が説明される。複数のダミーゲートがエッチングされて、第1の材料と第2の材料との交互層を含むフィンが露出される。第2の材料層は、開口部を形成するために除去され、残っている第1の材料層は、フィン状構造を形成するためにエピタキシャル成長される。【選択図】図13

Description

[0001] 本開示の実施形態は、広くは、半導体デバイスに関し、特に、水平ゲートオールアラウンドデバイス構造、並びに、水平ゲートオールアラウンドデバイス構造を形成するための方法及び装置に関する。
[0002] トランジスタは、ほとんどの集積回路の主要な構成要素である。トランジスタの駆動電流、したがって速度は、トランジスタのゲート幅に比例するので、より速いトランジスタは、一般に、より大きなゲート幅を必要とする。したがって、トランジスタのサイズと速度の間にはトレードオフがあり、最大駆動電流と最小サイズとを有するトランジスタの対立する目標に対処するために、「フィン」電界効果トランジスタ(finFET)が開発されている。FinFETは、トランジスタの設置面積を大幅に増加させることなく、トランジスタのサイズを大幅に増加させるフィン形状のチャネル領域を特徴とし、現在多くの集積回路で利用されている。しかし、finFETは、それ自体の欠点を有する。
[0003] トランジスタデバイスの特徴サイズが、より大きな回路密度及びより高い性能を実現するために縮小し続けるにつれて、静電結合を改善し、寄生容量やオフ状態漏れ(off-state leakage)などのような悪影響を低減させるために、トランジスタデバイス構造を改良する必要がある。トランジスタデバイス構造の例としては、平面構造、フィン電界効果トランジスタ(FinFET)構造、及び水平ゲートオールアラウンド(hGAA)構造が挙げられる。hGAAデバイス構造は、積層構成内で懸架され、ソース/ドレイン領域によって接続された幾つかの格子整合チャネルを含む。hGAA構造は、良好な静電制御を提供し、相補型金属酸化物半導体(CMOS)ウエハ製造における幅広い採用を見出すことができると信じられている。
[0004] 論理ゲート性能は、構造層の厚さや面積のみならず、使用される材料の特性に関連している。しかし、デバイスのスケーリングに対応するために、幾つかのゲート特性が調整されるので課題が生じる。更に、水平ゲートオールアラウンド(hGAA)デバイス上のピラー間の空間制約は、I/Oトランジスタ用のゲート誘電体材料の厚さを制限する。
[0005] hGAA構造のためのプロセスフローの自然な過程において、I/Oデバイスは、より高い駆動電流を必要とし、通常のプロセスフローにおけるように、Si/SiGeのシーケンスを有することは、特にNMOSデバイスの場合に、I/O性能に有害であることが分かった。GAA構造は、I/Oデバイス向けにも不適切である。したがって、フィン状構造の形成を可能にする水平ゲートオールアラウンドデバイスを形成するための改善された方法が必要とされている。
[0006] 本開示の1以上の実施形態は、半導体デバイスを形成する方法を対象とする。複数のダミーゲートが、複数のフィンにわたり基板表面からエッチングされる。複数のフィンは、第1の方向に沿って延在し、ダミーゲートは、第1の方向と交差する第2の方向に沿って延在する。複数のダミーゲートをエッチングすることにより、複数のフィンの一部が露出される。それによって、基板表面上の複数のフィンの一部がダミーゲートによって覆われ、複数のフィンの一部が露出される。フィンは、第1の材料と第2の材料との交互層を含む。ゲート酸化物は、ダミーゲートをエッチングすることによって形成されたトレンチを通して除去される。第2の材料の層は、複数のフィンからトレンチを通してエッチングされる。それによって、第1の材料層と開口部とが交互に存在する。第1の材料は、トレンチを通してエピタキシャル成長し、第1の材料の層を第1の材料と接触するように融合させる。
[0007] 本開示の更なる実施形態は、非I/Oゲート領域、I/Oゲート領域、ソースドレイン非I/O領域、pFET領域、及びnFET領域を含む半導体デバイスを対象とする。
[0008] 本開示の更なる実施形態は、ゲートオールアラウンド構造及び非I/Oゲート接点を有する非I/Oゲート領域を含む半導体デバイスを対象とする。ゲートオールアラウンド構造は、複数の離隔したナノシートを含む。該デバイスは、finFET及びI/O接点を含むI/Oゲート領域と、ソース接点及びドレイン接点を含むソースドレイン非I/O領域と、エピタキシャル成長した第1の材料及びpFET接点を含むpFET領域とを含む。エピタキシャル成長した第1の材料は、長さ、幅、及び高さを有する。幅は、複数の離隔したナノシートと整列した複数の離隔した突起を有する。デバイスは、エピタキシャル成長した第2の材料とnFET接点とを含むnFET領域を更に含む。
[0009] 本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、その幾つかを添付の図面に示す。しかし、添付の図面は、本開示の典型的な実施形態のみを示し、したがって、本開示は、他の等しく有効な実施形態を認めることができるので、本開示の範囲を限定すると見なされるべきではないことに留意されたい。
[0010] 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 図1~図11Fは、本開示の1以上の実施形態による電子デバイスの製造段階を示す。 [0011] 図12A~図12Fは、本開示の1以上の実施形態による電子デバイスを示す。 図12A~図12Fは、本開示の1以上の実施形態による電子デバイスを示す。 図12A~図12Fは、本開示の1以上の実施形態による電子デバイスを示す。 図12A~図12Fは、本開示の1以上の実施形態による電子デバイスを示す。 図12A~図12Fは、本開示の1以上の実施形態による電子デバイスを示す。 図12A~図12Fは、本開示の1以上の実施形態による電子デバイスを示す。 [0012] 図12Eで示されている領域XIIIの拡大図を示す。
[0013] 理解を容易にするために、図に共通する同一の要素を指し示すために、可能な場合には、同一の参照番号を使用した。図は縮尺どおりではなく、分かりやすくするために簡略化されていることがある。一実施形態の要素及び特徴は、更なる記述がなくても、他の実施形態に有益に組み込まれ得ると想定されている。
[0014] 本開示の幾つかの例示的な実施形態を説明する前に、本開示が以下の説明で提示される構成又はプロセスステップの詳細に限定されないことを理解されたい。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。
[0015] 本明細書及び添付の特許請求の範囲において使用される「基板」という用語は、処理が作用する表面又は表面の一部分を表している。これも当業者には当然のことであるが、基板に対して言及がなされるとき、文脈上他のことが明示されない限り、基板の一部分のみを指す場合がある。更に、基板上への堆積に対して言及がなされるとき、それは、ベア基板と、1以上の膜又は特徴が堆積又は形成された基板と、の両方を意味し得る。
[0016] 本明細書で使用される際に、「基板」とは、その上で製造プロセス中に膜処理が実行されるところの、任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実行され得る基板表面には、用途に応じて、シリコン、酸化ケイ素、歪みシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電材料などの任意の他の材料が含まれる。基板は、半導体ウエハを含むが、それに限定されるわけではない。基板表面を、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、及び/又はベークするために、基板に前処理プロセスを受けさせてよい。基板自体の表面上で直接フィルム処理することに加えて、本開示では、開示される任意のフィルム処理ステップが、以下でより詳細に開示されるように基板上に形成された下層上で実行されてもよい。「基板表面」という用語は、文脈が示すように、そのような下層を含むことが意図されている。したがって、例えば、フィルム/層又は部分的なフィルム/層が、基板表面上に堆積された場合、新しく堆積されたフィルム/層の露出面が、基板表面となる。
[0017] 本明細書及び添付の特許請求の範囲で使用される際に、「前駆体」、「反応物質」、「反応ガス」などの用語は、基板表面と反応することができる任意のガス種を指すために、相互交換可能に使用される。
[0018] 本明細書で使用されるときに、「ゲートオールアラウンド(GAA)」という用語は、電子デバイス、例えば、トランジスタを指すために使用され、その場合、ゲート材料は、全ての側面のチャネル領域を取り囲んでいる。GAAトランジスタのチャネル領域は、ナノワイヤ若しくはナノスラブ、バー形状チャネル、又は当業者に知られている他の適切なチャネル構成を含んでよい。1以上の実施形態では、GAAデバイスのチャネル領域が、垂直方向に離隔した複数の水平ナノワイヤ又は水平バーを有し、GAAトランジスタを、積層水平ゲートオールアラウンド(hGAA)トランジスタとする。
[0019] 本開示の1以上の実施形態は、ロジック内のI/Oデバイス用の従来のフィン状構造を形成するための方法を対象とする。幾つかの実施形態は、有利なことに、ポストフィンFET技術向けのナノシート/ナノスラブとして、非I/Oデバイスを維持する。本開示の幾つかの実施形態は、有利なことに、ゲート酸化物層がエッチングされた後で、従来のhGAAプロセスフローに更なるプロセスを提供し、GAA仕事関数金属堆積のためにSiGeスラブが除去される。幾つかの実施形態では、SiGe除去に続いてODL(又はSOH)堆積が行われ、その後、非I/O領域がマスクオフされる。露出されたI/O領域では、ODLが除去され、ナノスラブの間及び上でエピタキシを使用して、それらがピンチオフされるまでシリコンが再成長する。シリコンが十分に成長すると、エッチバックプロセス(例えば、HClを使用して)が行われて、ナノスラブの側壁を凹ませ、側壁を垂直にし、その結果、I/Oデバイス用の結晶シリコンで作製されたフィン状構造が生じる。幾つかの実施形態では、ハードマスク及びODLが、非I/Oデバイスから除去され、仕事関数金属が、非I/O側及びI/Oデバイス上の再成長フィンの外側表面上にGAAとして堆積される。
[0020] 本開示の1以上の実施形態は、図面を参照して説明される。図1は、本開示の1以上の実施形態による電子デバイス100を示している。電子デバイス100は、基板表面102上に複数のフィン110を含む。各図は、トレンチ111によって分割された3つのフィン110を有する一実施形態を示しているが、当業者であれば、3つより多い又は少ないフィン110が存在し得ることを理解するであろう。フィン110は、第1の方向191(X方向とも称される)に沿って延びる長さと、第2の方向192(Y方向とも称される)に沿って延びる幅と、第3の方向193(Z方向とも称される)に沿って延びる高さとを有する。用語「水平」の使用は、第1の方向191及び第2の方向192によって形成される平面(X‐Y平面とも称される)を指す。用語「垂直」の使用は、第3の方向193に沿っていることを意味する。用語「水平」及び「垂直」は、相対的な方向性を示すために使用され、重力引っ張りに対する任意の特定の関係として解釈されるべきではない。幾つかの実施形態では、フィン110の数が3の倍数である。
[0021] フィン110は、第1の材料112と第2の材料114との交互層を含む。幾つかの実施形態の第1の材料112と第2の材料114とは、異なる材料である。幾つかの実施形態では、第1の材料112が、少なくとも1つのIII‐V材料を含み、第1の材料112と第2の材料114とが、異なる材料を含むように、第2の材料114が、少なくとも1つのIII‐V材料を含む。幾つかの実施形態では、第1の材料112がシリコン(Si)を含む。幾つかの実施形態では、第2の材料114が、シリコンゲルマニウム(SiGe)を含む。第1の材料112及び第2の材料114は、任意の適切な厚さであってよく、当業者に知られている任意の適切な技法によって堆積され得る。第1の材料112及び第2の材料114の層は、ナノシートとも称される。
[0022] フィン110は、複数の材料を含む基板101上に形成される。本明細書に記載された材料が、可能な材料の単なる代表例であり、それらの材料に限定されるべきではないことを、当業者は認識するであろう。図示されている一実施形態では、p型ドープシリコン117上に2つのフィン110が形成され、n型ドープシリコン118上に1つのフィン110が形成されている。p型ドープシリコン117とn型ドープシリコン118との間には、シャロートレンチアイソレーション(STI)酸化物119がある。
[0023] ゲート酸化物120が、基板101の表面102上に形成され、複数のフィン110を覆っている。ゲート酸化物120は、当業者に知られている任意の適切な技法によって堆積された任意の適切な材料であり得る。幾つかの実施形態では、ゲート酸化物120が、原子層堆積(ALD)プロセスによって共形層として堆積される。幾つかの実施形態では、ゲート酸化物120が、熱成長酸化物である。1以上の実施形態では、ゲート酸化物が酸化ケイ素を含む。
[0024] 図2は、複数のダミーゲート200のパターニング後且つ露出したゲート酸化物120の除去後の図1の実施形態を示している。ダミーゲート200は、第2の方向192に沿って延在し、第1の方向191をある角度で横切る。幾つかの実施形態の角度は、30度から150度の範囲内、若しくは45度から135度の範囲内、若しくは60度から120度の範囲内、若しくは75度から105度の範囲内、又は80度から100度の範囲内である。幾つかの実施形態では、角度が、第1の方向191と第2の方向192との交差によって形成され、90度である。複数のダミーゲート200は、複数のフィン110の一部210がダミーゲート200によって覆われ、複数のフィン110の一部220がダミーゲート200間の間隙215内で露出されるように、複数のフィン110上に形成される。幾つかの実施形態のダミーゲート200は、任意の適切な技法によって堆積され、パターニング用にマスクされ、エッチングされる。幾つかの実施形態では、ダミーゲート200をエッチングすることにより、トレンチ215を形成し、ゲート酸化物120を有する複数のフィン110の一部220を露出させる。図示されている一実施形態では、ダミーゲート200が、上部にハードマスク204を有するダミーゲート材料202と共に示されている。ダミーゲート材料202は、当業者に知られている任意の適切な材料であってよい。幾つかの実施形態では、ダミーゲート材料202が、アモルファスシリコンを含む。ハードマスク204は、任意の適切な技法によって堆積され、任意の適切な技法(例えば、リソグラフィ)によってパターニングされた任意の適切な材料であってよい。幾つかの実施形態では、ハードマスクが窒化ケイ素を含む。
[0025] 幾つかの実施形態では、図2で示されているように、ゲート酸化物120が、トレンチ215内で露出されたフィン110の上部からエッチングされる。ゲート酸化物120は、当業者に知られている任意の適切な技法によってエッチングされてよい。幾つかの実施形態では、ゲート酸化物120が、異方性エッチングプロセスを使用してエッチングされる。幾つかの実施形態では、ゲート酸化物120が、反応性イオンエッチング(RIE)プロセスを使用してエッチングされる。
[0026] 図3は、ダミーゲート200の下にない第1の材料112及び第2の材料114のエッチング後、且つ、内部スペーサ116の形成後の図2と同様な一実施形態を示している。露出した第1の材料112及び第2の材料114(ダミーゲートの下にないスラブ又はナノシートの部分)は、当業者に知られている任意の適切な技法によってエッチングされる。幾つかの実施形態では、第1の材料112及び第2の材料114が、異方性エッチングプロセスによってエッチングされる。幾つかの実施形態では、第1の材料112及び第2の材料114が、ゲート酸化物120と同時にエッチングされる。幾つかの実施形態では、第1の材料112及び第2の材料114が、ゲート酸化物120とは別個にエッチングされる。
[0027] 第2の材料114は、ダミーゲート200の下の凹部距離だけトレンチ215を通して凹んでいる。凹部距離は、任意の適切な距離であってよい。幾つかの実施形態では、凹部距離が、1から10nmの範囲内、若しくは2から8nmの範囲内、若しくは3から7nmの範囲内、又は4から5nmの範囲内である。第2の材料114は、当業者に知られている任意の適切な技法によって凹まされてよい。
[0028] 幾つか実施形態では、内部スペーサ116が、凹んだ第2の材料114、第1の材料112、並びに、ダミーゲート200及びSTI酸化物119の露出された部分を覆う共形膜として、原子層堆積によって堆積される。共形堆積の後に、異方性エッチングプロセス(例えば、RIE)を使用して、ダミーゲート200の上部、下部、及び側壁から内部スペーサ116を洗浄し、第2の材料114を凹ませることによって残された凹部領域内に内部スペーサ116を残す。幾つかの実施形態では、内部スペーサ116が、ダミーゲートの上面及び下面から除去され、側壁スペーサ302としてダミーゲートの側壁上に残される(図4で示されているように)。側壁スペーサ302は、内部スペーサ116と同じ材料又は異なる材料302のいずれかから別個に形成され得る。
[0029] 図4は、側壁スペーサ302及びハードマスク300を堆積させ、マスキングし、パターニングした後の図3と同様な一実施形態を示している。パターニング後に、ハードマスク300を介して露出された第2の材料114をエピタキシャル成長させて、ソースドレイン非I/O領域にpFETを形成する。ハードマスク300は、基板上に形成され、パターニングされて、電子デバイスのソースドレイン非I/O領域上に開口部301を形成する。幾つかの実施形態の開口部を通してアクセス可能なフィン110は、ダミーゲート200の間でエッチングされて、フィン110から第1の材料112を除去する。エッチング後に、第2の材料114をエピタキシャル成長させて、ソースドレイン非I/O領域310のpFET320を形成する。
[0030] 図示されている実施形態は、pFETがnFETの前に形成されるプロセスを示している。しかし、当業者は、nFETがpFETの前に形成され得ることを認識し、そのような実施形態に適応するように図面を再配置することを理解するであろう。
[0031] 幾つか実施形態では、側壁スペーサ302が、共形膜として内部スペーサ116とは別個に堆積され、水平面からエッチングされ、側壁スペーサ302で覆われたダミーゲート200の側壁を残す。幾つかの実施形態では、側壁スペーサ302が、ハードマスク300とダミーゲート材料202との間の直接的な接触を防止する。側壁スペーサ302は、当業者に知られている任意の適切な(1以上の)技法によって堆積及び/又はエッチングされ得る。側壁スペーサ302は、当業者に知られている任意の適切な材料であってよい。幾つかの実施形態では、側壁スペーサ302が、低誘電率誘電体を含む。ハードマスク300は、当業者に知られている任意の適切な技法によって堆積された任意の適切なハードマスクであってよい。
[0032] 図5は、ハードマスク300を除去し、新しいハードマスク400を形成し、ハードマスク400をマスキングし、パターニングした後で、nFET領域410内でnFET420をエピタキシャル成長させた後の図4の一実施形態を示している。ハードマスク300は、当業者に知られている任意の適切な技法によって除去され得る。幾つかの実施形態では、ハードマスク300が、エッチングプロセスによって除去される。第2のハードマスク400は、nFET領域410上に開口部401を形成するために、当業者に知られている任意の適切な技法によって形成され、パターニングされる。幾つかの実施形態では、nFETが、pFETの形成前に形成される。当業者は、過度の実験を行うことなく、nFET、次いでpFETをどのように形成するかを認識し、理解するであろう。
[0033] 図6は、任意選択的なゲートカットピラー502(図7で視認可能)を形成した後の図5の実施形態を示している。ハードマスク204及びハードマスク400は、当業者に知られている任意の適切な技法によって除去される。酸化物層500が、ダミーゲート200の間の空間を埋めるために堆積される。酸化物層500は、流動性化学気相堆積(FCVD)を含むがこれに限定されない、当業者に知られている任意の適切な技法によって堆積される。幾つかの実施形態では、酸化物層500が、ブランケット堆積プロセスによって堆積され、それに続き、適切な平坦化プロセス(例えば、化学機械的平坦化)を経て、酸化物層500を通ってダミーゲート200の上部208を露出させる酸化ケイ素である。
[0034] 幾つかの実施形態では、ライナ510が、酸化物層500の堆積前に、ハードマスク204及びハードマスク400の除去後に露出表面上に堆積される。ライナ510は、コンタクトエッチング停止層(CESL)とも称される。幾つかの実施形態のライナ510は、窒化ケイ素を含む。幾つかの実施形態のライナ510は、原子層堆積によって共形層として堆積される。幾つかの実施形態では、ゲートカットピラー502を形成することが、開口部525を形成するためにハードマスク520を堆積及びパターニングすることを含み、アモルファスシリコン層500の上部501、側壁スペーサ302の上部303、及びライナ510の上部512を露出させる。ゲートカットピラー502は、窒化物を含むがこれに限定されない任意の適切な材料であってよい。幾つかの実施形態では、ゲートカットピラー502の形成が省略され、それによって、電子デバイス内にゲートカットピラーが存在しない。図7は、ダミーゲート材料202(例えば、アモルファスシリコンゲート)を除去するために、幾つかのプロセスが実施された後の図6の一実施形態を示している。ゲートカットピラー502が形成される実施形態では、ハードマスク520を除去して、側壁スペーサ302の上部303、ライナ510の上部512、及び酸化物層500の上部501を露出させる。
[0035] ダミーゲート200(任意選択的に、ダミーゲート材料202を含む)を除去すると、トレンチ600が形成される。ダミーゲート200及びダミーゲート材料202は、当業者に知られている任意の適切な技法によって除去され得る。幾つかの実施形態では、酸化物層500が、反応性イオンエッチング(RIE)によって除去される。ダミーゲート材料202は、当業者に知られている任意の適切な技法によって除去され得る。幾つかの実施形態では、ダミーゲート200が、酸化物層500及びダミーゲート材料202に対して選択的なプロセスでハードマスク204を除去することによって除去され、次いで、ダミーゲート材料202が、酸化物層500に対して選択的なプロセスで除去される。幾つかの実施形態では、ダミーゲート材料202の除去が、酸化物層500の50%未満を除去する。
[0036] 第2の材料114の層(ナノシート)は、トレンチ600を通して除去される。図示されているように、これらの層は、マスクされて、I/O領域をオープンしながら、非I/O領域を保護して、I/O領域の準備を可能にする。複数のフィン110からトレンチ600を通して第2の材料114の層をエッチングすることによって、内部スペーサ116によって第1の方向191に沿って両側に境界付けられた交互の第1の材料112層と開口部610とが生成される。第2の材料114は、当業者に知られている任意の適切な技法によって除去され得る。幾つかの実施形態では、第2の材料114が、第1の材料112に対して選択的にエッチングされる。第2の材料114を除去することにより、ナノシート間の間隙を充填することが可能になる。幾つかの実施形態では、第2の材料114の除去が、純粋なシリコンデバイスの形成を可能にする。幾つかの実施形態では、第1の材料が結晶シリコン(Si)を含み、第2の材料がシリコンゲルマニウム(SiGe)を含み、SiGeの除去が、結晶Siに選択的なエッチングプロセスを使用して行われる。
[0037] 図8~図11の各々は、6つのビューの同様のパターンに従う電子デバイスを示している。各図の「A」ビューは、本開示の1以上の実施形態による電子デバイスの等角図を示している。「B」から「F」の図は、図8Aで示されている線に沿った「A」ビューで示されている電子デバイスのスライスを示している。各図において、「B」ビューは、「A」ビューの電子デバイスのスライスを示し、非I/Oゲートが示されている。各図において、「C」ビューは、「A」ビューの電子デバイスのスライスを示し、I/Oゲートが示されている。各図において、「D」ビューは、「A」ビューの電子デバイスのスライスを示し、ソースドレイン非I/Oが示されている。各図において、「E」ビューは、「A」ビューの電子デバイスのスライスを示し、pFETが示されている。各図において、「F」ビューは、「A」ビューの電子デバイスのスライスを示し、nFETが示されている。見易くするために、「B」から「F」のスライスを表す線は、図8Aにのみ示されているが、当業者であれば、図8から図11のそれぞれにおけるこれらのビューを認識するであろう。以下の文字を含まない図番号を参照すると、示された図の6つのビューすべてが参照され、例えば、図8を参照すると、図8Aから8Fのすべてが参照される。
[0038] 図8Aは、スピンオンハードマスク(SOH)700及びハードマスク層710の堆積後の図7の実施形態を示している。SOH700は、任意の適切な技法によって堆積された任意の適切なハードマスクであってよい。幾つかの実施形態では、SOH700が、スピンオンカーボン(SOC)を含むか又は本質的にそれからなる。ハードマスク層710は、酸窒化ケイ素(SiON)を含むがこれに限定されない任意の適切な材料であってよく、当業者に知られている任意の適切な技法によって堆積させることができる。
[0039] ハードマスク層710及びSOH700を貫通して開口部720が形成される。開口部は、トランジスタゲートを保護しながら、電子デバイスのI/O領域を露出させる。開口部720は、当業者に知られている任意の適切な技法によって形成され得る。幾つかの実施形態では、更なるハードマスクがレジスト上に堆積され、パターニングされる。更なるハードマスクに開口部を形成する。次いで、開口部をハードマスク層710及びSOH700に転写する。幾つかの実施形態では、開口部720が、更なるマスクの開口部を通してハードマスク層710及びSOH700をマスキング及びエッチングすることによって形成される。幾つかの実施形態では、ハードマスク層710とSOH700とが、同時にエッチングされる。幾つかの実施形態では、ハードマスク層710とSOH700とが、異なるプロセスを使用してエッチングされる。幾つかの実施形態の開口部720は、図8Eと図8Fでそれぞれ示されているように、電子デバイスのpFET領域及びnFET領域上に形成される。
[0040] 図9は、ハードマスク層710を除去し、開口部600を通る第1の材料112上にエピタキシャル再成長プロセスを実行した後の図8の一実施形態を示している。幾つかの実施形態のエピタキシャル再成長プロセスは、選択的エピタキシプロセスである。幾つかの実施形態では、選択的エピタキシプロセスが、開口部600(トレンチとも称される)を通して第1の材料112層をエピタキシャル成長させる。幾つかの実施形態のエピタキシャル成長は、第1の材料112層を第1の材料112の接点800に融合させる。幾つかの実施形態では、第1の材料112がシリコンを含み、エピタキシによって、第1の材料112のナノスラブは、融合して開口部610からピンチオフする。幾つかの実施形態では、エピタキシプロセスが、Si<100>の成長を引き起こす。幾つかの実施形態では、エピタキシプロセスが、Si<110>の成長を引き起こす。幾つかの実施形態では、エピタキシプロセスにより、接点800が、(図9Cで示されているような)円錐形状又は(図9Eで示されているような)平坦な上部の円錐台形状を有する。
[0041] 図10は、フィン(接点800)をトリミングするためのエッチングプロセス後、且つ、トレンチ910を通してハードマスク層710をストリップした後の図9の一実施形態を示している。幾つかの実施形態では、エッチングプロセスが、周期的エピタキシャル成長エッチングプロセスを通してI/Oデバイスを成形した後に行われる。幾つかの実施形態では、エッチングプロセスが、HClエッチバックプロセスを含む。幾つかの実施形態では、エッチバックプロセスが、側壁の垂直性を高める。幾つかの実施形態では、エッチバックプロセスが、ナノスラブを再成形して、接点800の上部に形成されたポイントの度合いを低減させて、I/Oデバイス用の結晶シリコンで作製されるフィン状構造(finFET)を形成する。幾つかの実施形態では、接点800が、開口部910を形成するためにSOH700の除去が後に続く、繰り返される連続的な成長及びエッチングプロセスによって再成形される。
[0042] 図11は、幾つかのプロセス後の図10の一実施形態を示している。層間誘電体1300が、露出された表面上に形成される。幾つかの実施形態の層間誘電体1300は、原子層堆積によって堆積された共形膜である。層間誘電体1300は、当業者に知られている任意の適切な材料であってよい。
[0043] 層間誘電体1300の形成後に、層間誘電体1300上に高誘電率誘電体1310が形成される。高誘電率誘電体1310は、当業者に知られている任意の適切な材料であってよい。幾つかの実施形態では、高誘電率誘電体1310が、酸化ハフニウムを含むか又は本質的に酸化ハフニウムからなる。幾つかの実施形態では、高誘電率誘電体が、原子層堆積によって堆積された共形膜である。
[0044] 任意選択的な仕事関数金属(WFM)1320が、高誘電率誘電体1310上に形成される。任意選択的な仕事関数金属1320は、任意の適切な技法によって堆積された、当業者に知られている任意の適切な材料であってよい。幾つかの実施形態では、仕事関数金属1320が、原子層堆積又は物理的気相堆積によって堆積された共形膜である。
[0045] ゲート金属1330が、任意選択的な仕事関数金属1320上に形成される。ゲート金属は、任意の適切な技法によって堆積された任意の適切な材料であってよい。幾つかの実施形態では、ゲート金属1330が、コバルト、タングステン、銅、モリブデン、又はルテニウムのうちの1以上を含む。幾つかの実施形態では、ゲート金属1330が、ブランケット堆積プロセスによって堆積される。幾つかの実施形態では、ゲート金属1330が、原子層堆積、化学気相堆積、又は物理的気相堆積うちの1つ以上によって堆積される。
[0046] ゲート金属1330の形成後に、電子デバイスを平坦化して、ゲート金属1330の表面1332を下げ、アモルファスシリコン層500の上面501を露出させる。幾つかの実施形態では、平坦化が、エッチング又は化学機械平坦化(CMP)によって行われる。
[0047] 図12は、様々な接点を形成した後の図11の一実施形態を示している。当業者であれば、様々な接点をパターニング及び形成するためのプロセスを理解するであろう。簡単に言えば、幾つかの実施形態では、ハードマスク層及びレジストが、任意の適切な(1以上の)技法によって形成され、パターニングされる。
[0048] パターニングされたマスクの開口部を通してエッチングすると、接点用の孔が開く。幾つかの実施形態では、エッチングプロセスが、異方性エッチングプロセスを含む。幾つかの実施形態では、エッチングプロセスが、開口部を生成し、pFET及びnFETに対して選択的である。
[0049] 層間誘電体1300が除去され、金属層が堆積され、平坦化される。層間誘電体1300は、当業者に知られている任意の適切な技法によって除去され得る。幾つかの実施形態では、金属層1700が、ゲート金属1330と同じ材料を含む。幾つかの実施形態では、金属層1700が、コバルト、タングステン、銅、又はルテニウムのうちの1以上を含む。金属層1700の堆積後に、電子デバイスは、金属層1700の表面1702を下げるように平坦化されて、アモルファスシリコン層500の上面501を露出させる。幾つかの実施形態では、平坦化が、エッチング又は化学機械平坦化(CMP)によって行われる。
[0050] 図12Aから図12Fで示されているように、本開示の幾つかの実施形態は、非I/Oゲート領域1110(図12B)、I/Oゲート領域1120(図12C)、ソースドレイン非I/O領域1130(図12D)、pFET領域1140(図12E)、及びnFET領域1150(図12F)を含む、半導体デバイス1100を対象とする。
[0051] 電子デバイス1100の幾つかの実施形態は、ゲートオールアラウンド構造1112を備える非I/Oゲート領域1110を有する。幾つかの実施形態では、ゲートオールアラウンド構造1112が、第1の材料112で作製されたナノシート1111コアを有し、層間誘電体1300が第1の材料112と接触している。幾つかの実施形態では、高誘電率誘電体1310が、第1の材料112とは反対側の層間誘電体1300と接触している。幾つかの実施形態では、仕事関数金属1320が、層間誘電体1310とは反対側の高誘電率誘電体1310と接触している。
[0052] 幾つかの実施形態では、第1の材料112で作製されたナノシート1111の各々が、p型ドープシリコン117から第3の方向193に沿って距離を空けて配置されている。例えば、図12Bは、図の右側にナノシート1111の2つの列を示し、各列は、p型ドープシリコン117材料から距離を空けて配置されている。幾つかの実施形態では、第1の材料112で作製されたナノシート1111の各々が、第3の方向193に沿って、n型ドープシリコン118から距離を空けて配置されている。例えば、図12Bは、図の左側にナノシート1111の1つの列を示しており、列は、n型ドープシリコン118材料から距離を空けて配置されている。幾つかの実施形態では、各ナノシート1111が、ゲート金属1330の領域が各ゲートオールアラウンド構造1112の間にあるように、第3の方向193に沿って隣接するナノシート1111から距離を空けて配置されている。
[0053] ナノシート1111及び/又はゲートオールアラウンド構造1112の数は変化し得る。幾つかの実施形態では、2つから7つの範囲内のナノシート1111、若しくは2つから5つの範囲内のナノシート、若しくは3つから4つの範囲内のナノシート、又は3つのナノシートが存在する。
[0054] 幾つかの実施形態は、非I/Oゲート領域1110(図12B)又はI/Oゲート領域1120(図12C)のうちの1以上に、任意選択的なゲートカットピラー502を更に含む。
[0055] 幾つかの実施形態では、図12Cで示されているように、電子デバイス1100が、finFET1122を有するI/Oゲート領域1120を含む。幾つかの実施形態のfinFET1122は、第1の材料112で作製された接点800を含む。幾つかの実施形態では、I/Oゲート領域1120のfinFET1122が、円錐台形状の上部を有する。
[0056] 幾つかの実施形態では、pFET領域1140(図12E)又はnFET領域1150(図12F)のうちの1以上が、pFET320及び/又はnFET420としてエピタキシャル成長した第1の材料112を含む。図示されている一実施形態では、pFET領域1140(図12E)が、pFET320としてエピタキシャル成長した第2の材料114を有し、nFET領域1150(図12F)が、nFET420としてエピタキシャル成長した第1の材料112を有する。
[0057] 図12E及び図13を参照すると、pFET320を形成するエピタキシャル成長した第1の材料112が、第2の方向192に沿って延在する長さを有する。図12E及び図12Fは、第1の方向191及び第3の方向193によって形成される平面、すなわちX‐Z平面で第2の方向192に沿って見た電子デバイスを示している。エピタキシャル成長した第1の材料112は、第1の方向191に沿って延在する幅Wと、第3の方向193に沿って延在する高さHとを有する。接点800を形成するエピタキシャル成長した第1の材料112の幅Wは、高さHに沿って変化する。幾つかの実施形態では、エピタキシャル成長した第1の材料112が、複数の離隔した突起を備えた幅を有する。
[0058] 前記エピタキシャル成長した第1の材料は、長さ、幅、及び高さを有し、該幅は、複数の離隔した突起115を有する。突起115の各々は、内部スペーサ116(内部スペーサ誘電体とも称される)によって隣接する突起115から分離されている。幾つかの実施形態では、離隔した突起のそれぞれが、図12Eの右側で示されているトランジスタのゲートオールアラウンド構造1112のナノシート1111と整列している。幾つかの実施形態では、内部スペーサが、1から10nmの範囲内、若しくは2から8nmの範囲内、若しくは3から7nmの範囲内、又は4から5nmの範囲内の幅を有する。
[0059] 幾つかの実施形態は、非I/Oゲート領域1110と電気的に通じている非I/Oゲート接点1115と、I/Oゲート領域1120と電気的に通じているI/Oゲート接点1125と、ソースドレイン非I/O領域1130のソース(pFET320又はnFET420のうちの一方)と電気的に通じているソース接点1135と、ソースドレイン非I/O領域1130のドレイン(pFET320又はnFET420のうちの一方)と電気的に通じているドレイン接点1135と、pFET領域1140と電気的に通じているpFET接点1145と、nFET領域1150と電気的に通じているnFET接点1155と、のうちの1以上を更に含む。幾つかの実施形態では、I/Oデバイスの幅(図11E及び図11Fの左側に示されている)は、トランジスタゲートの幅(図11E及び図11Fの右側に示されている)よりも広い。I/Oデバイスのゲートが広いほど、より高い電圧及び/又はより大きな電流が印加されることが可能になる。幾つかの実施形態では、I/Oゲートが、非I/Oゲートの幅の1.5x、2x、4x、7x、又は10xよりも大きい。
[0060] この明細書全体を通じて、「一実施形態(one embodiment)」、「特定の実施形態(certain embodiments)」、「1以上の実施形態(one or more embodiments)」、又は「実施形態(an embodiment)」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。故に、この明細書全体の様々な箇所での「1以上の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」などの表現は、必ずしも、本開示の同一の実施形態に言及するものではない。更に、特定の特徴、構造、材料、又は特質は、1以上の実施形態において、任意の適切なやり方で組み合わされ得る。
[0061] 本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は、本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行い得ることが、当業者には明らかになろう。ゆえに、本発明は、付随する特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (20)

  1. 半導体デバイスを形成する方法であって、
    第1の方向に沿って延在する複数のフィンにわたり基板表面から複数のダミーゲートをエッチングすることであって、前記基板表面上の前記複数のフィンの一部が前記複数のダミーゲートによって覆われ、前記複数のフィンの一部が露出されるように、前記第1の方向と交差する第2の方向に沿って延在する複数のトレンチを設けて、前記複数のフィンの一部を露出させ、前記複数のフィンは第1の材料と第2の材料との交互層を含む、複数のダミーゲートをエッチングすること、
    前記トレンチを通して露出されるゲート酸化物を除去すること、
    交互に第1の材料層と開口部とが存在するように、前記トレンチを通して前記複数のフィンから第2の材料の層をエッチングすること、及び
    前記第1の材料の層を第1の材料の接点と融合させるために、前記トレンチを通して前記第1の材料層をエピタキシャル成長させることを含む、方法。
  2. 前記基板表面上に複数のフィンをパターニングすることを更に含む、請求項1に記載の方法。
  3. 前記複数のフィンを覆う前記基板表面上のゲート酸化物を形成することを更に含み、前記トレンチは、前記複数のダミーゲートをエッチングして、上に前記ゲート酸化物を有する前記複数のフィンの一部を露出させることによって形成される、請求項1に記載の方法。
  4. 前記複数のフィンの一部が前記複数のダミーゲートによって覆われ、前記複数のフィンの一部が露出されるように、前記複数のフィンにわたり前記第2の方向に沿って延在する前記複数のダミーゲートを形成することを更に含む、請求項1に記載の方法。
  5. 前記複数のダミーゲート間で露出された前記複数のフィンの一部をエッチングすることを更に含む、請求項4に記載の方法。
  6. 前記複数のダミーゲート上に酸化物層を堆積させ、前記複数のダミーゲート間で前記基板表面を露出させることを更に含む、請求項5に記載の方法。
  7. 前記基板表面上にアモルファスシリコン層を堆積させることを更に含み、前記アモルファスシリコン層は、前記複数のダミーゲートの上部が露出されることを可能にする、請求項6に記載の方法。
  8. 前記アモルファスシリコン層を形成することは、ブランケット堆積プロセスと、それに続く化学機械的平坦化とを含み、前記複数のダミーゲートの前記上部を露出させる、請求項7に記載の方法。
  9. 前記第1の材料は、少なくとも1つのIII‐V材料を含み、前記第2の材料は、少なくとも1つのIII‐V材料を含み、前記第1の材料と前記第2の材料とは異なる材料を含む、請求項1に記載の方法。
  10. 前記第1の材料がシリコン(Si)を含み、前記第2の材料がシリコンゲルマニウム(SiGe)を含む、請求項9に記載の方法。
  11. 非I/Oゲート領域、I/Oゲート領域、ソースドレイン非I/O領域、pFET領域、及びnFET領域を含む、半導体デバイス。
  12. 前記非I/Oゲート領域はゲートオールアラウンド構造を含む、請求項11に記載の半導体デバイス。
  13. 前記I/Oゲート領域はfinFETを含む、請求項12に記載の半導体デバイス。
  14. 前記pFET領域又は前記nFET領域のうちの1以上が、エピタキシャル成長した第1の材料を含む、請求項13に記載の半導体デバイス。
  15. 前記エピタキシャル成長した第1の材料は、長さ、幅、及び高さを有し、前記幅は、複数の離隔した突起を有する、請求項14に記載の半導体デバイス。
  16. 前記離隔した突起は、前記ゲートオールアラウンド構造のナノシートと整列している、請求項15に記載の半導体デバイス。
  17. 2つから7つの範囲内のナノシートが存在する、請求項16に記載の半導体デバイス。
  18. 前記非I/Oゲート領域又は前記I/Oゲート領域のうちの1以上にゲートカットピラーを更に含む、請求項17に記載の半導体デバイス。
  19. 前記非I/Oゲート領域と電気的に通じている非I/Oゲート接点、前記I/Oゲート領域と電気的に通じているI/Oゲート接点、前記ソースドレイン非I/O領域のソースと電気的に通じているソース接点、前記ソースドレイン非I/O領域のドレインと電気的に通じているドレイン接点、前記pFET領域と電気的に通じているpFET接点、及び前記nFET領域と電気的に通じているnFET接点を更に含む、請求項11に記載の半導体デバイス。
  20. ゲートオールアラウンド構造及び非I/Oゲート接点を有する非I/Oゲート領域であって、前記ゲートオールアラウンド構造は、複数の離隔したナノシートを含む、非I/Oゲート領域、
    finFET及びI/O接点を含むI/Oゲート領域、
    ソース接点及びドレイン接点を含むソースドレイン非I/O領域、
    エピタキシャル成長した第1の材料及びpFET接点を含むpFET領域であって、前記エピタキシャル成長した第1の材料は、長さ、幅、及び高さを有し、前記幅は、複数の離隔した突起を有し、前記突起は、前記離隔したナノシートと整列している、pFET領域、並びに
    エピタキシャル成長した第2の材料及びnFET接点を含むnFET領域を含む、半導体デバイス。
JP2022523178A 2019-10-22 2020-10-22 選択的なエピタキシ再成長によるゲートオールアラウンドi/o形成のための方法 Pending JP2022552850A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962924661P 2019-10-22 2019-10-22
US62/924,661 2019-10-22
PCT/US2020/056768 WO2021081155A1 (en) 2019-10-22 2020-10-22 Methods for gaa i/o formation by selective epi regrowth

Publications (1)

Publication Number Publication Date
JP2022552850A true JP2022552850A (ja) 2022-12-20

Family

ID=75492224

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022523178A Pending JP2022552850A (ja) 2019-10-22 2020-10-22 選択的なエピタキシ再成長によるゲートオールアラウンドi/o形成のための方法

Country Status (6)

Country Link
US (2) US11393916B2 (ja)
JP (1) JP2022552850A (ja)
KR (1) KR20220085805A (ja)
CN (1) CN114556546A (ja)
TW (1) TW202129721A (ja)
WO (1) WO2021081155A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102363250B1 (ko) * 2017-03-23 2022-02-16 삼성전자주식회사 반도체 소자
US11631770B2 (en) * 2019-10-31 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
DE102020110169A1 (de) * 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und bildungsverfahren einer halbleitervorrichtung mitstressor
US11450673B2 (en) * 2020-07-31 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Connection between source/drain and gate
US11637195B2 (en) * 2020-11-02 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate patterning process including dielectric Fin formation
US20220406778A1 (en) * 2021-06-21 2022-12-22 Intel Corporation Integrated circuit structures having plugged metal gates

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014505995A (ja) * 2010-12-01 2014-03-06 インテル コーポレイション シリコン及びシリコンゲルマニウムのナノワイヤ構造
US20150084041A1 (en) * 2013-09-24 2015-03-26 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US20170229538A1 (en) * 2016-02-04 2017-08-10 International Business Machines Corporation Stacked nanowire devices
US9960077B1 (en) * 2017-08-17 2018-05-01 Globalfoundries Inc. Ultra-scale gate cut pillar with overlay immunity and method for producing the same
US20190067122A1 (en) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US20190115438A1 (en) * 2017-07-18 2019-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US20190312107A1 (en) * 2017-05-08 2019-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9153647B1 (en) * 2014-03-17 2015-10-06 International Business Machines Corporation Integrated circuit having heterostructure FinFET with tunable device parameters and method to fabricate same
US9455317B1 (en) * 2015-06-24 2016-09-27 International Business Machines Corporation Nanowire semiconductor device including lateral-etch barrier region
US9613871B2 (en) 2015-07-16 2017-04-04 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9711533B2 (en) * 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US10600638B2 (en) * 2016-10-24 2020-03-24 International Business Machines Corporation Nanosheet transistors with sharp junctions
US10510620B1 (en) * 2018-07-27 2019-12-17 GlobalFoundries, Inc. Work function metal patterning for N-P space between active nanostructures
US10971630B2 (en) * 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
CN117832265A (zh) * 2019-09-12 2024-04-05 联华电子股份有限公司 半导体装置及其制作方法
US11114547B2 (en) * 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11177259B2 (en) * 2019-09-27 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-threshold gate structure with doped gate dielectric layer

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014505995A (ja) * 2010-12-01 2014-03-06 インテル コーポレイション シリコン及びシリコンゲルマニウムのナノワイヤ構造
US20150084041A1 (en) * 2013-09-24 2015-03-26 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US20170229538A1 (en) * 2016-02-04 2017-08-10 International Business Machines Corporation Stacked nanowire devices
US20190312107A1 (en) * 2017-05-08 2019-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US20190115438A1 (en) * 2017-07-18 2019-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US9960077B1 (en) * 2017-08-17 2018-05-01 Globalfoundries Inc. Ultra-scale gate cut pillar with overlay immunity and method for producing the same
US20190067122A1 (en) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method

Also Published As

Publication number Publication date
US20210119021A1 (en) 2021-04-22
US11393916B2 (en) 2022-07-19
TW202129721A (zh) 2021-08-01
CN114556546A (zh) 2022-05-27
US20220320318A1 (en) 2022-10-06
WO2021081155A1 (en) 2021-04-29
KR20220085805A (ko) 2022-06-22

Similar Documents

Publication Publication Date Title
US11855090B2 (en) High performance MOSFETs having varying channel structures
US10490552B2 (en) FinFET device having flat-top epitaxial features and method of making the same
US9806076B2 (en) FinFET device and method of manufacturing same
US8652894B2 (en) Method for fabricating a FinFET device
US11393916B2 (en) Methods for GAA I/O formation by selective epi regrowth
US6645797B1 (en) Method for forming fins in a FinFET device using sacrificial carbon layer
US20140175374A1 (en) Hybrid cmos nanowire mesh device and finfet device
US9412850B1 (en) Method of trimming fin structure
US11682588B2 (en) Epitaxial source/drain and methods of forming same
US20150357332A1 (en) Devices and methods of forming bulk finfets with lateral seg for source and drain on dielectrics
US9793378B2 (en) Fin field effect transistor device with reduced overlap capacitance and enhanced mechanical stability
US10014295B2 (en) Self heating reduction for analog radio frequency (RF) device
US20210249408A1 (en) Semiconductor device and method of forming the same
US9437740B2 (en) Epitaxially forming a set of fins in a semiconductor device
US20230378181A1 (en) Finfet device having flat-top epitaxial features and method of making the same
TW202117933A (zh) 製造半導體裝置的方法及半導體裝置
CN106876393B (zh) 半导体器件及其形成方法
US11688741B2 (en) Gate-all-around devices with isolated and non-isolated epitaxy regions for strain engineering

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220614

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230620

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240130