JP5489992B2 - 電界効果トランジスタ・デバイスの製造方法 - Google Patents

電界効果トランジスタ・デバイスの製造方法 Download PDF

Info

Publication number
JP5489992B2
JP5489992B2 JP2010512624A JP2010512624A JP5489992B2 JP 5489992 B2 JP5489992 B2 JP 5489992B2 JP 2010512624 A JP2010512624 A JP 2010512624A JP 2010512624 A JP2010512624 A JP 2010512624A JP 5489992 B2 JP5489992 B2 JP 5489992B2
Authority
JP
Japan
Prior art keywords
fin
gate
trench
layer
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010512624A
Other languages
English (en)
Other versions
JP2010530623A (ja
Inventor
チャン、ジョセフィン、ビー
グイローン、マイケル
ヘンシュ、ヴィルフリート、エルンスト−アウグスト
センガー、キャサリン、リン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2010530623A publication Critical patent/JP2010530623A/ja
Application granted granted Critical
Publication of JP5489992B2 publication Critical patent/JP5489992B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Description

本発明は、集積回路に関し、特に、フィン型電界効果トランジスタ(FinFET)デバイスおよびその製造方法に関する。
その高速スイッチング時間および大電流密度のためには、フィン型電界効果トランジスタ(FinFET)デバイスは望ましいデバイス・アーキテクチャである。その基本的形において、FinFETデバイスはソースと、ドレインと、このソースおよびドレインの間の1つまたはそれ以上のフィン状のチャネルとを含む。そのフィン(1つまたは複数)上のゲート電極はソースおよびドレイン間の電子の流れを調整する。
しかし、FinFETデバイスのアーキテクチャは、顕著な製造上の問題を提起する。例えば、デバイスの構造体サイズがますます小さくなってゆく時(現行の技術に比例して)、正確にかつむら無くソースおよびドレインにコンタクトを形成することは問題となる。FinFETの先行する幾つかの実物はシングル・フィン、絶縁されたデバイス、あるいは大幅に緩やかなピッチで作られたデバイスの上にあった。これらの構造体は、ソースおよびドレインにコンタクトを形成するという問題を回避することを可能にする。
フィンとコンタクトをとるためにはソース/ドレイン・ランディング・パッドが使用され、それは、処理中に機械的安定性を提供し、デバイス・コンタクト形成方式を簡単化し、外部抵抗を減少させる。しかし、ランディング・パッドは、(最小限のゲート・ピッチを使用するロジック・レイアウトの場合)実際的なゲート・ピッチを達成すると共に外部抵抗および寄生容量を最小にするために、ゲートと正確に整合させられなければならない。ランディング・パッドをゲートと適切にかつ一貫して整合させることは困難である。その結果、ランディング・パッドを用いない代替コンタクト形成方式が提案されている。しかし、ランディング・パッドが無ければ、個々のフィンとコンタクトを取らなければならず、それは、例えば、最小フィン・ピッチとコンタクト・バイアについての最小ピッチとの間の不整合に起因して困難であり得る。
エピタキシャル成長で統合されたフィン、あるいは複数のフィンと接触するコンタクト・バーの使用などの解決策も提案されている。例えば、直列抵抗を減少させると共にコンタクト形成方式を簡単化するためにエピタキシャル隆起したソース領域およびドレイン領域が提案されている。例えば、Kaneko et al.、Sidewall transfer process and selective gate sidewall spacer formation technology for sub−15nm finfet with elevated source/drain extension、IEDM Technical Digest、p.844−847(2005)、Kavalieros et al.、Tri−Gate Transistor Architecture with High−k Gate Dielectrics, Metal Gates and Strain Engineering、Symposium on VLSI Technology 2006、p.50−51(2006)、およびShang et al.、Investigation of FinFET Devices for 32nm Technologies and Beyond、Symposium on VLSI Technology 2006、p.54−55(2006)を参照されたい。
しかし、エピタキシャル・プロセスは、界面化学、結晶方位、および成長条件に極めて敏感であるために欠点を有する。例えば、エピタキシャル成長プロセスに関しては、ゲート上での寄生成長は阻止されなければならず、デバイス構造の残りの部分は攻撃的なエピタキシャル前の清掃から守られなければならず、また、寄生容量および抵抗の両方を最小化すると共に別にドープされたソース表面およびドレイン表面での同様の成長を達成するためにエピタキシャル成長のファセット形成および方向が制御されなければならない。
シュルツ(Schluz)により出願された米国特許出願第2006/0189043号(以降、“シュルツ”)は、基板上のマスク層を使用し、マスク層にトレンチを形成し、トレンチの中で基板にフィンを形成し、その後にフィン上でトレンチ内にプレーナ型化されたゲート電極を形成することを含むフィンFETデバイス製造方法を記述している。しかし、シュルツの教示は、特に比例縮小技術と関連して、製造に必要とされる精度および一貫性でのフィンの形成に配慮していない。
米国特許出願第2006/0189043号
Kaneko et al.、Sidewall transfer process and selective gate sidewall spacer formation technology for sub−15nm finfet with elevated source/drain extension、IEDM Technical Digest、p.844−847(2005) Kavalieros et al.、Tri−Gate Transistor Architecture with High−k Gate Dielectrics, Metal Gates and Strain Engineering、Symposium on VLSI Technology 2006、p.50−51(2006) Shang et al.、Investigation of FinFET Devices for 32nm Technologies and Beyond、Symposium on VLSI Technology 2006、p.54−55(2006)
従って、デバイス・コンタクト形成方式とデバイスのスケーラビリティとを改善するFinFETデバイスおよびその製造法が望ましいであろう。
本発明は、改良されたフィン型電界効果トランジスタ(FinFET)デバイスと、その製造方法とを提供する。本発明の1つの側面において、電界効果トランジスタ・デバイスを製造するための方法が提供される。この方法は次のステップを含む。その上にシリコン層を有する基板が準備される。そのシリコン層においてフィン・リソグラフィー・ハードマスクがパターニングされる。フィン・リソグラフィー・ハードマスクの中央部分の上にダミー・ゲート構造が配置される。ダミー・ゲート構造の周りにフィラー層が堆積させられる。フィン・リソグラフィー・ハードマスクの中央部分の上を中心として、フィラー層にトレンチを形成するためにダミー・ゲート構造が除去され、それはデバイスのフィン領域をデバイスのソース領域およびドレイン領域から区別する。フィン領域内のフィン・リソグラフィー・ハードマスクは、シリコン層に複数のフィンをエッチングするために使用される。フィンの上にゲート・スタックを形成するためにトレンチはゲート材料で充填される。デバイスのソース領域およびドレイン領域を形成するためにフィラー層が除去され、ソース領域およびドレイン領域は無傷であってゲート・スタックと自己整合させられている。
本発明の他の1つの側面において、電界効果トランジスタ・デバイスが提供される。その電界効果トランジスタ・デバイスは、ソース領域と、ドレイン領域と、そのソース領域およびドレイン領域を結合させ、約40ナノメートルと約200ナノメートルの間のピッチを有し、かつ約10ナノメートルと約40ナノメートルの間の幅をそれぞれ有する複数のフィンと、フィンの少なくとも一部分の上のゲート・スタックとを含み、ソース領域およびドレイン領域はゲート・スタックと自己整合させられている。
本発明の別の1つの側面において、半導体デバイスが提供される。その半導体デバイスは、複数の電界効果トランジスタ・デバイスをその上に有するチップを含み、その複数の電界効果トランジスタは少なくとも1つのプレーナ型電界効果トランジスタと少なくとも1つのフィン型電界効果トランジスタとを含み、その少なくとも1つのフィン型電界効果トランジスタは、ソース領域と、ドレイン領域と、そのソース領域およびドレイン領域を結合させ、約40ナノメートルと約200ナノメートルの間のピッチを有し、かつ約10ナノメートルと約40ナノメートルの間の幅をそれぞれ有する複数のフィンと、フィンの少なくとも一部分の上のゲート・スタックとを含み、ソース領域およびドレイン領域はゲート・スタックと自己整合させられている。
本発明と、本発明のさらなる特徴および利点とについてのより完全な理解は、次の詳細な説明と図面とを参照することにより得られるであろう。
次に、添付図面を参照して、単なる例示として、本発明の実施態様が説明される。
本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従うフィン型電界効果トランジスタ(FinFET)デバイスを製造する代表的方法を示す図である。 本発明の実施態様に従う自己整合させられているソース/ドレイン領域を有する代表的FinFETデバイスを示す図である。 (A)は、本発明の実施態様に従う図16のFinFETデバイスのいろいろな横断面図を示す図である。(B)は、本発明の実施態様に従う図16のFinFETデバイスのいろいろな横断面図を示す図である。(C)は、本発明の実施態様に従う図16のFinFETデバイスのいろいろな横断面図を示す図である。(D)は、本発明の実施態様に従う図16のFinFETデバイスのいろいろな横断面図を示す図である。 本発明の実施態様に従うフリンジおよびゲート・キャパシタンスを示す代表的FinFETデバイスの上から見た平面図である。 本発明の実施態様に従うフィン高さの変動を示すフィンの横断面図を示す図である。 本発明の実施態様に従う単一のチップ上に統合されたFinFETデバイスとプレーナ型FETデバイスとを示す図である。 (A)は、本発明に従う代表的FinFETデバイスの伝導経路に沿う横断面図を示す画像である。(B)は、本発明の実施態様に従うゲートに埋め込まれたフィンを示す図21Aの代表的FinFETデバイスの横断面図を示す画像である。 (A)は、本発明の実施態様に従うフィンおよびスペーサ画定後のゲート・トレンチの上から見た画像である。(B)は、本発明の実施態様に従うダミー・ゲート長さと置換ゲート長さとの間の相関を示すグラフである。 (A)は本発明の実施態様に従う代表的FinFETデバイスの電流−電圧特性を示すグラフである。(B)は本発明の実施態様に従う代表的FinFETデバイスの電流−電圧特性を示すグラフである。 本発明の実施態様に従うオン電流のオフ電流に対する相関を示すグラフである。 本発明の実施態様に従う代表的FinFETデバイスのショート・チャネル挙動を示すグラフである。 本発明の実施態様に従う代表的FinFETデバイスのショート・チャネル挙動を示すグラフである。 本発明の実施態様に従う代表的FinFETデバイスのショート・チャネル挙動を示すグラフである。 本発明の実施態様に従う代表的FinFETデバイスのショート・チャネル挙動を示すグラフである。 本発明の実施態様に従う外部抵抗抽出を示すグラフである。 (A)は本発明の実施態様に従うネガティブ・チャネル電界効果トランジスタ・キャパシタンス測定値を示すグラフである。(B)は本発明の実施態様に従うネガティブ・チャネル電界効果トランジスタ・キャパシタンス測定値を示すグラフである。(C)は本発明の実施態様に従うネガティブ・チャネル電界効果トランジスタ・キャパシタンス測定値を示すグラフである。
図1−図15は、フィン型電界効果トランジスタ(FinFET)デバイスを製造するための代表的方法100を示す図である。以下で詳述されるように、本技術は、ゲートと自己整合したソース/ドレイン領域を構築するためにダマシン・ゲート・プロセスを使用する。
ステップ102で、シリコン・オン・インシュレータ(SOI)基板においてシリコン・アクティブ・エリアを画定するために浅いトレンチ分離(STI)が使用される。すなわち、基板、すなわち基板140、が準備される。基板は、二酸化シリコン(SiO)などの誘電体材料を含むけれどもそれに限定されない任意の適切な絶縁体材料を含み得る。代表的実施態様に従って、基板140は、その上に窒化物層、すなわち窒化物層144、を有する。窒化物層144にトレンチがエッチングされ、そのトレンチにシリコンが堆積させられてシリコン層142を形成する。化学的機械的平坦化(CMP)などの平坦化技術を用いて余剰シリコンがシリコン層142から除去され得る。代表的実施態様に従って、シリコン層142は約30ナノメートル(nm)から約40nmまでの間の、例えば約36nmの、厚さを有するように構成される。走査電子顕微鏡(SEM)画像102aは、基板140上のシリコン・アクティブ・エリアのSTI形成のトップ・ダウン図(上からに見た平面図)を示す。基板140は本書では埋め込み酸化物(buried oxide)(BOX))層とも称され得る。
STIは、一般に、nmオーダー範囲の構造体サイズのプロセス技術に使用される。以下で詳述されるように、本技術は、ゲート長さが30nmを下回る、例えばゲート長さが最低で約22nmの、FinFETを作るために適切である。
あるいは、本書において提示されるFinFETデバイスは、SOI基板よりはむしろバルク・シリコン基板を用いて製造され得る。その場合、バルク・シリコン基板において絶縁を達成するために深いウェル・インプラントが使用され得る。
ダマシン・ゲート・プロセスの前に、フィン・リソグラフィー・ハードマスクが形成される。ステップ104で、シリコン層142の上に酸化物層146が形成される。代表的実施態様に従って、酸化物層146はSiOを含み、熱的酸化を用いて形成される。すなわち、酸化物層146を成長させるために酸素などの酸化剤が摂氏約700度(700℃)と約1,100℃との間の温度でシリコン層142中に拡散される。酸化プロセスの結果として、シリコン層142の一部が消費され、シリコン層142の厚さを約20nmと約30nmとの間まで、例えば約26nmまで、減少させる。酸化物層146は約15nmと約25nmとの間の、例えば約20nmの厚さを有することができる。
第2の窒化物層、すなわち窒化物層148、が窒化物層144/酸化物層146の上に堆積させられる。代表的実施態様に従って、窒化物層148は、低圧化学蒸着(LPCVD)を用いて約15nmと約20nmとの間の、例えば約20nmの厚さまで堆積させられる。従って、窒化物層148は酸化物層146と同じ厚さを有することができる。
約15nmと約25nmとの間の、例えば約20nmの厚さを有するレジスト膜が窒化物層148上に堆積させられ、マスクされ、その後にパターニングされてフィン・レジスト・スタック150となる。
代表的実施態様に従って、例えば窒化物層148において(下記のステップ106についての記述を参照されたい)、フィン・ハードマスクを形成するために反応イオン・エッチング(RIE)が使用されるであろう。従って、フィン・レジスト・スタック150を形成するために使用されるレジスト膜は、電子ビーム(e−ビーム)リソグラフィーを用いてパターニングされて炭素ベースのレジストに転写されたハイドロジェンシルセスキオキサン(hydrogen silsesquioxane(HSQ))などの適切なレジスト材料スタックを含むべきである。
フィン・レジスト・スタック150の位置決めは、完成したFinFETデバイスにおけるフィンの位置決めを最終的に決定するであろう。代表的実施態様に従って、フィン・レジスト・スタック150は、約40nmと約200nmの間の、例えば約40nmと約80nmの間のピッチ、すなわち各々の隣り合うフィン・レジスト・スタックの間の距離(矢152により示される)、と約10nmと約40nmとの間の、例えば約10nmと約20nmの間の幅Dfin(矢154a及び154bにより示される)とを有するように構成される。このようなものとして、得られるフィンも約40nmと約200nmの間の、例えば約40nmと約80nmの間のピッチ、すなわち隣り合うフィン間の距離、と約10nmと約40nmの間の、例えば約10nmと約20nmの間の幅とを有するであろう。フィン高さ寸法は、例えば、以下で、図19の記述と関連して記述されるであろう。SEM画像104aは、窒化物層148上のフィン・レジスト・スタック150のトップ・ダウン図を示す。
一連のRIEステップを用いて実行されるハードマスク開口段階がステップ106に示されている。代表的実施態様に従って、窒化物層148の、フィン・レジスト・スタック150の下の部分以外の全てを除去し、フィン・ハードマスク層156を形成するために、マスクとしてフィン・レジスト・スタック150(図示されていない)を用いる窒化物選択的RIEが始めに用いられる。酸化物層146は、窒化物選択的RIEのためのエッチ・ストップとして作用する。窒化物選択的RIEは、同時に、窒化物層144をエッチングすることもでき、シリコン層142がエッチ・ストップとして作用する(ステップ106において示されているように)。その結果として、窒化物層144もその時約20nmと約30nmの間の、例えば約26nmの、厚さを有することになる。
次に、フィン・ハードマスク層156をマスクとして用いて、酸化物層146の、フィン・ハードマスク層156の下の部分以外の全てを除去し、フィン・ハードマスク層158を形成するために、酸化物選択的RIEが用いられる。シリコン層142は、酸化物選択的RIEのためのエッチ・ストップとして作用する。窒化物層148および酸化物層146と同様に、フィン・ハードマスク層156および158は、約15nmと約20nmの間の、例えば約20nmの、厚さを各々有する。
フィン・ハードマスク層156および158は、二重フィン・ハードマスク構造を形成する。SEM画像106aは、シリコン層142上の該二重フィン・ハードマスク構造のトップ・ダウン図を示す。二重フィン・ハードマスク構造の使用は、より正確で均一なフィンをシリコン層において形成することを可能にする(以下で記述される、フィン形成ステップ116を参照されたい)。すなわち、該二重フィン・ハードマスク構造では、フィン・ハードマスク層156(窒化物層)はダミー・ゲート画定(以下で記述されるステップ110を参照されたい)の間フィン・ハードマスク層158(酸化物層)の完全性を保護し、フィン・ハードマスク層158(酸化物層)はスペーサ(窒化物選択的)エッチング (以下で記述されるステップ118を参照されたい) の間フィンを保護する。フィン・ハードマスクの良好な完全性を維持することは、フィン高さおよび幅の変動を最小にするために重要である。フィン高さの変動は、例えば、以下で図19の記述と関連して、記述される。FinFETデバイスのサイズがますます小さくなるにつれて、フィン厚さの変動などの、フィン寸法の望まれていない変動の効果がより目立ってくる。フィン寸法の変動は、デバイスの閾値に影響を及ぼすことがあるので、望ましくない。
ステップ108で、酸化物ストップ層、すなわち酸化物層160、がシリコン層142上に形成される。代表的実施態様に従って、酸化物層160を最高約4nmに、例えば最高約2nmに、成長させるために熱的酸化が用いられる。上述されたように、シリコン層142の一部分が熱的酸化プロセス中に消費される。従って、シリコン層142の厚さはさらに約25nmと約26nmの間まで減ぜられる。
ステップ110で、ダマシン・ゲート・プロセスを始めるために、ダミー・ゲート構造162が形成される。ダミー・ゲート構造は多結晶シリコン(ポリシリコン)を含む。代表的実施態様に従って、ダミー・ゲート構造162は、始めにポリシリコン層を酸化物層160/フィン・ハードマスク層156および158の上にLPCVDを用いて約100nmと約150nmの間の、例えば約140nmの厚さまで堆積させることによって、形成される。ポリシリコン層の厚さはダミー・ゲートの高さを決めるので、所望の厚さ/高さを達成するために、堆積後にCMPが使用され得る。その後、レジストがポリシリコン層上に堆積させられ、マスクされて、ダミー・ゲート占有領域(フットプリント)でパターニングされる。その後ポリシリコン選択的RIEが、フィン・ハードマスク層156および158の上の中央に位置するポリシリコン層の中央部分以外の全てを除去するために用いられ、ダミー・ゲート162が形成される。代表的実施態様に従って、ダミー・ゲート162は、約100nmと約150nmの間の、例えば約140nmの、高さ163と、約30nmと約50nmの間の、例えば約45nmの、長さ164とを有する。SEM画像110aは、二重フィン・ハードマスク構造の上の中央に位置するダミー・ゲート162のトップ・ダウン図を示す。
ステップ112において、フィラー層166がダミー・ゲート162の周りに堆積させられる。フィラー層166は、SiOなどの誘電体を含む任意の適切なフィラー材料を含むことができる。代表的実施態様に従って、フィラー層166は、高密度プラズマ(HDP)を用いてダミー・ゲート162の周りに堆積させられる。その後、ダミー・ゲートをエッチ・ストップとして用いて、フィラー材料を平坦化するためにCMPが用いられる。従って、フィラー層166は、例えば約100nmと約150nmの間の、例えば約140nmの、ダミー・ゲートの高さと同等の厚さを有することになろう。SEM画像112aは、フィラー層166およびダミー・ゲート162の横断面図を示す。
ステップ114において、ダミー・ゲート162が除去されてフィラー層166にゲート・トレンチ168を形成する。トレンチ168はダミー・ゲート162のネガティブ・パターンであるから、トレンチ168もフィン・ハードマスク層156および158の上の中央に位置する。SEM画像114aは、トレンチ168のトップ・ダウン図を示す。代表的実施態様に従って、トレンチ168はFinFETデバイスのフィン領域をデバイスのソース領域およびドレイン領域から区別する。
ダミー・ゲート162は、ウェット化学エッチングあるいはドライ・エッチングを用いて除去され得る。代表的実施態様に従って、ダミー・ゲート162を除去するためにウェット化学エッチングが使用される。該エッチングは、フィラー層にも影響を及ぼしてその一部分を除去することがある。例えば、ダミー・ゲート162を除去するエッチング・プロセスの後、フィラー層166は約115nmと約125nmの間の、例えば約120nmの、厚さまで縮小されていることがあり得る。
ダミー・ゲートの使用は、本技術の重要な側面である。すなわち、ダミー・ゲートが除去される時、露出されるフィン・ハードマスク層がトレンチの中に既に存在しているように、ダミー・ゲートは、フィラー層を形成する前にフィン・ハードマスク層が置かれることを可能にする。フィン・ハードマスク層は、より正確で均一なフィンをフィン領域に形成するために重要である。既存のフィン・ハードマスク層無しでトレンチ内に真っ直ぐな側壁を有する輪郭のはっきりしたフィンをパターニングすることは、トレンチ内の形状に起因して、可能であるとしても、極めて困難であろう。上記のように、フィン寸法の変動を最小化することが望ましい。変動は、デバイス閾値を変化させることがあるからである。
ステップ116において、フィンがシリコン層142に形成される。すなわち、二重フィン・ハードマスク構造によってマスクされていないトレンチ168内のシリコン層142の部分、すなわち、部分170、を除去するためにシリコン選択的RIEが使用される。基板140はエッチ・ストップとして作用する。SEM画像116aは、トレンチ168にエッチングされたフィン/二重フィン・ハードマスク構造167のトップ・ダウン図を示す。画像116aに示されているように、フィン/二重フィン・ハードマスク構造は鮮明な、輪郭のはっきりしたエッジを有する。上記のように、これは、フィンをパターニングするために二重ハードマスク構造を使用することの結果である。
本教示の1つの利点は、デバイスのソース/ドレイン領域をフィラー層166の下に無傷のまま残しておいてフィンがトレンチ168の中だけでエッチングされることである。さらに、このようにして作られるソース/ドレイン領域は、トレンチ168と、従ってトレンチ168に形成されるデバイス・ゲート(下記のステップ120)と自己整合させられるであろう。
上記のように、本技術は、約40nmと約200nmの間の、例えば約40nmと約80nmの間のピッチすなわち隣り合うフィン間の距離と、約10nmと約40nmの間の、例えば約10nmと約20nmの間の幅とを有するフィンを形成するために使用され得る。さらに、フィンの各々は約20nmと約100nmの間の、例えば約25nmの高さを有することができる。フィン高さは、例えば、以下で図19についての記述と関連して記述される。
ステップ118において、トレンチ168内にスペーサ172が形成される。このステップは任意選択である。デバイスのソース/ドレイン領域になるものとデバイス・ゲート(トレンチ168に形成される。ステップ120を参照されたい)との間にスペーサを置くことは、完成したデバイスの寄生容量を最小化するのに役立つであろうが、隆起ソース/ドレイン(raised source/drain(RSD))エピタキシャル成長あるいはシリサイド化(すなわち、FinFETにおいる代表的なフロー)中でのゲートとソース/ドレインとの間の短絡を阻止するためには必要でない。完成したデバイスにおいては除去されて酸化物スペーサと置換されているスペーサ172は、主としてこの段階でゲートをソース/ドレイン領域から一定距離オフセットさせるのに役立つ。
代表的実施態様に従って、スペーサ172は、始めに窒化物層をトレンチ168の中に堆積させることにより形成される。その後、レジスト膜がその窒化物層上に堆積させられ、マスクされ、スペーサ占有領域(フットプリント)でパターニングされる。その後、該窒化物層においてスペーサ172を画定するために窒化物選択的RIEが使用される。スペーサがトレンチの側壁に沿ってのみ存在してフィン上には存在しないように、フィン/二重フィン・ハードマスク構造の側壁を除去するために大時限オーバー・エッチング(large timed overetch)が必要である。従って、スペーサ172の最小プルダウンはフィンおよび残っているフィン・ハードマスク層の高さである。例えば、オーバー・エッチングの量は、窒化物層全体を除去するのに必要とされるエッチング時間の約50パーセント(%)と約80%との間である。このエッチングの間に、フィン・ハードマスク層156も除去される。スペーサは、約5nmと約25nmとの間の長さ171を有することができる。スペーサの最大高さは、トレンチ168の高さ167からスペーサ・プルダウンの高さ169を引いたもの、すなわち、高さ173、に等しい。スペーサの最小高さは、ソース/ドレイン領域の高さ165(以下で記述されるステップ124を参照されたい)、例えば約25nm、である。SEM画像118aは、中にスペーサ172を有するトレンチ168のトップ・ダウン図を示す。
ステップ120において、トレンチ168をゲート材料で充填することによってフィン上に置換ゲート、すなわちゲート・スタック174、が形成される。ゲート材料がトレンチ168の中に充填されると、フィラー層166をエッチ・ストップとしてゲートを平坦化するためにCMPが使用される。適切なゲート材料は、それらに限定はされないが、ポリシリコン、堆積させられた金属(単数または複数)、および、金属ポリシリコンなどの複数の材料のハイブリッド・スタックのうちの1つまたはそれ以上を含む。
任意選択により、トレンチをポリシリコン材料で充填する前にフィン上に残っているフィン・ハードマスク層のいずれもが除去され得る。しかし、フィン・ハードマスク層を除去することは必要ではない。さらに、代表的実施態様に従って、トレンチをゲート材料で満たす前に、トレンチ168において例えばSiOまたは酸窒化物などの犠牲酸化物層を成長させるために熱的酸化プロセスが使用され得、あるいは高k誘電体材料層がトレンチ168の中に堆積させられ得る。これらの熱酸化物層あるいは高k誘電体層は、ゲートとフィンとの間のゲート誘電体として役立つことができる。
ステップ120において示されているように、ゲート・スタック174はフレア(張り出し)形の上部174aを有することができ、それは、スペーサ172によるトレンチ168の変化した幅の結果である。例えば以下でステップ122についての記述と関連して記述されるように、ゲート・スタックのこのフレア形上部は任意選択により除去され得る。SEM画像120aは、ゲート・スタック174の横断側面図を示す。
ステップ122において、フレア形上部174aがゲート・スタック174から除去される。上記のように、このステップは任意選択である。例えば、方法100の残りのステップは、フレア形上部174aをゲート・スタック174から除去すること無く、実行され得る(例えば、ステップ126を参照されたい)。フレア形上部を除去することはよりコンパクトなレイアウトのための備えであり、例えば、ソース/ドレイン領域に達するコンタクト・スタッドを、ゲートへの短絡を引き起こさずに、ゲートにより近づけることを可能にする。代表的実施態様に従って、スペーサ172をエッチ・ストップとしてCMPを用いてフレア形上部174aが除去される。
上記のように、フレア形上部174aがゲート・スタック174から除去されても除去されなくても(それぞれ、ステップ124および126)同じ処理ステップが実行され得る。すなわち、両方のステップ124および126において、ゲート・スタック174および基板140がドープされ、フィラー層166が除去される(ソース/ドレイン領域176およびソース/ドレイン領域178を現わす)。すなわち、代表的実施態様に従って、ゲート・スタック174は始めにホウ素(p型)またはリン(n型)などのドーピング材を注入される。その後、フィラー層166を除去するためにウェット・エッチングが用いられる。その後、ホウ素、リンあるいはヒ素などのドーピング材が最高約7度の傾斜角で基板140に注入される。
ゲートのフレア形上部が除去される場合、代わりのドーピング方式が実行され得る。フィラー層166の除去の後に、スペーサ172も除去され、フィン延長領域177を露出させる。SEM124aは、露出したフィン延長領域177を有する構造のトップ・ダウン図を示す。その後、ホウ素、リンおよびヒ素のうちの1つまたはそれ以上などのドーピング材が約20度および約45度の間の傾斜角で基板140に注入される。
SEM画像126aは、ゲート・スタックすなわちゲート・スタック174の中に埋め込まれたフィン形状の横断側面図を示す。SEM画像126bは、ゲート・スタック174の横断側面図を示す。
ステップ128において、置換スペーサすなわちデバイス・スペーサ180が付加され、ソース/ドレイン領域176および178がドープされる。上で強調されたように、スペーサの使用は任意選択である。例えば、デバイス・スペーサを持たないFinFETデバイスが示されている図16を参照されたい。すなわち、代表的実施態様に従って、デバイス・スペーサ180は、始めに、ソース/ドレイン領域176および178とゲート・スタック174との間を含めて、ゲート・スタック174の周りに酸化物(SiO)層を堆積させることにより形成される。その酸化物層上にレジスト層が堆積させられ、マスクされてパターニングされる。その後、デバイス・スペーサ180を形成するために酸化物選択的RIEが使用される。ステップ128において示されているように、デバイス・スペーサ180は、好ましくは、ソース/ドレイン領域176および178の上で約10nmと約40nmの間の距離182にわたって延びるように構成される。SEM画像128aは、その両側にデバイス・スペーサ180を有するゲート174のトップ・ダウン図を示す。
上で強調されたように、スペーサ180の使用は任意選択であり、ソース/ドレイン領域とゲートとの間にギャップを提供するけれどもそのギャップ内にスペーサを含まない実施態様が本書で記述される。例えば、以下で記述される図16および図17を参照されたい。
その後、ソース/ドレイン領域176および178は、トップ・ダウン・ディープ・インプラント(top−down deep implants)を用いてドーピング材でドープされる。適切なドーピング材は、ホウ素およびリンを含むが、これらに限定はされない。
ステップ130において、ソース/ドレイン領域176および178上にシリサイド領域184が形成される。シリサイド領域184は、ソース/ドレイン領域176および178のディープ・インプラントの中に延びる。代表的実施態様に従って、シリサイド領域184は、始めにニッケル−白金(NiPt)などの非遷移金属をソース/ドレイン領域176および178上に堆積させ、その後にアニールしてシリサイドを形成することによって形成される。シリサイド形成後、中間工程(middle−of−the−line)誘電体堆積、コンタクト・スタッド・パターニング、金属パターニングおよびメタライゼーションを含む任意の標準的中間工程の相補型金属酸化膜半導体(CMOS)プロセスが実行され得る。
図16は、代表的なFinFETデバイス200を示す図である。FinFETデバイス200は、基板202と、ゲート・スタック204と、ソース/ドレイン領域206と、ソース/ドレイン領域207と、ソース/ドレイン領域206およびソース/ドレイン領域207の間のフィン(チャネル)208とを含む。FinFETデバイス200は、例えば、上の図1−図15の記述と関連して記述された方法100に従って製造される。従って、ソース/ドレイン領域206および207はゲート・スタック204と自己整合させられている。平面A〜Dを通るFinFETデバイス200の横断面図が図17の(A)〜(D)にそれぞれ示されている。
FinFETデバイスがCMOS技術で実現可能であるためには、1つの重要な因子は、競争力のあるゲート・ピッチおよびフィン・ピッチでのこれらのデバイスの実証である。マイクロエレクトロニクス産業における一般的方法は、各々のノードついて約70%と約80%との間の縮みで、65nm技術ノードのためには約250nm、45nm技術ノードのためには約190nmのゲート・ピッチを使用することであった。従って、45nm技術ノードを超えるノードでFinFETデバイスが使用されるためには、競争力のあるゲート・ピッチは、精々190nmであろう。
フィン・ピッチは、プレーナ・レイアウト密度との同等性を達成するために、精々フィン高さの2倍でなければならない。上記のように、約40nmと約200nmの間、例えば約40nmと約80nmの間、のフィン・ピッチと、約20nmと約100nmの間、例えば約25nm、のフィン高さとが、本技術を用いて達成可能である。
複数のFinFETデバイスが同じウェーハ上で互いに隣接して置かれ(図20)、かつ、あるいは幾つかのゲート“フィンガー”が同じウェーハ上の複数のFinFETデバイスを制御する場合には、ゲート・ピッチすなわち隣接するデバイスのゲート間の距離は、挿入時において現在の技術のノードとコンパチブルでなければならない。200nm未満の、例えば約180nmと約200nmの間の、ゲート・ピッチが本技術を用いて達成可能である。
図17の(A)〜(D)は、例えば、上の図16についての記述と関連して記述されたFinFETデバイス200の異なる横断面図を示す図である。すなわち、図17の(A)は、4つのフィンの各々を二分する平面Aを通るFinFETデバイス200の横断面図を示す図である。図17の(A)に示されているように、ゲート・スタック204とフィン208との間にゲート誘電体210が存在する。
図17の(B)は、ソース/ドレイン領域207を二分する平面Bを通るFinFETデバイス200の横断面図を示す図である。図17の(C)は、ゲート・スタック204およびフィン208/ソース/ドレイン領域206および207を二分する平面Cを通るFinFETデバイス200の横断面図を示す図である。図17の(C)に示されているように、ゲート・スタック204とフィン208との間にゲート誘電体210が存在する。単に説明を目的として、フィン208をソース/ドレイン領域206および207から区別すると共に、上記のようにフィン208がゲート204の下だけでパターニングされていることを示すために、点線が使用されている。しかし、フィン208とソース/ドレイン領域206および207とが1つの連続した構造を形成することが理解されるべきである。
図17の(D)は、ゲート・スタック204とソース/ドレイン領域206および207とを二分する平面Dを通るFinFETデバイス200の横断面図を示す図である。図17の(D)に示されているように、ゲート・スタック204とソース/ドレイン領域206および207との間にギャップ212が存在する。上記のように、これらのギャップは任意選択によりデバイス・スペーサで充填され得る。さらに、以下で図18についての記述と関連して記述されるように、そのデバイス・スペーサとゲート誘電体210とは、デバイスにおいて所望の差分フリンジ/ゲート・キャパシタンス(differential fringe/gate capacitance)を達成するように構成され得る。
図18は、フリンジおよびゲート・キャパシタンスを示す代表的FinFETデバイス400のトップ・ダウン図を示す図である。描写を容易にするために、デバイスにおけるフリンジおよびゲート・キャパシタンスを説明するために必要なコンポーネントだけが示されている。FinFETデバイス400は、ゲート・スタック402と、ソース/ドレイン領域404と、ソース/ドレイン領域405と、ソース/ドレイン領域404および405の間のフィン406とを含む。
FinFETデバイスの3次元性は、ゲートとソース/ドレイン領域の側壁との間に(すなわち、セクション408に沿う)寄生フリンジ・キャパシタンスを導入する。このフリンジ・キャパシタンスは、ゲート・キャパシタンスより遥かに小さな、例えば半分未満の、値に保たれるべきである。
この差分キャパシタンスは幾つかの仕方で達成され得る。単なる例として、スペーサが領域408に沿ってだけ形成され得、領域410に上には形成されない。この方法は、図1−図15のステップ118についての記述と関連して記述された。ゲート誘電体成長中、チャネル表面、すなわちセクション410に沿ってと比べて、寄生表面に沿って、すなわちセクション408に沿って誘電体の成長が促進される差分誘電体成長は、差分キャパシタンスを達成するための他の1つの仕方である。差分誘電体成長は、種々の結晶学的平面の差別的酸化速度を利用することにより、かつ、あるいは、傾斜注入を通して寄生表面(ソース/ドレイン領域側壁表面)だけを改変することによって、達成され得る。これらの差分誘電体成長アプローチのいずれも、図1−図15のステップ118の代わりに実行され得る。
図19は、フィン高さhの変動を示すフィンの横断面図を示す図である。この横断面図は、例えば、図17(A)(上に記載された)に示されている本FinFETデバイスの同じ平面を通る図であるが、単一のフィン502だけを示すために拡大されている。上記のように、フィン高さを含むフィン寸法の変動を最小にすることが望ましい。図19に示されているように、フィン高さは各フィンの基部から頂部まで測られる。フィンをマスクしエッチングするためにどんなプロセスが使用されるかに関わらず、フィンの頂部における丸め(角取り)に起因してフィン高さの或る量の変動Δhが存在することになろう。図19に示されているように、Δhはフィン全体おけるhの最高値(h)と最低値(h)との差として測られる。代表的実施態様に従って、Δhは約5nm以下であり、例えば約3nm以下である。
図20は、単一のチップすなわちチップ606上に統合されたFinFETデバイス602とプレーナ型電界効果トランジスタ(FET)デバイス604とを示す図である。チップ606はハイブリッドCMOS技術の例である。
例えば上記で図16についての記述と関連して記述されたFinFETデバイス200と同様に、FinFETデバイス602の各々は基板と、ゲート・スタックと、ソース/ドレイン領域と、ソース/ドレイン領域間のフィンとを含む。プレーナ型FETデバイス604の各々は、間にチャネルを有するソース/ドレイン領域と、ゲート酸化物層によりチャネルから分離されたゲート・スタックとを含む。代表的なプレーナ型FETデバイスの構造は当業者に良く知られており、本明細書ではさらに説明はされない。
本FinFET製造プロセス(例えば、上記の図1−図15を参照されたい)は、本FinFETデバイスをプレーナ型FETデバイスと共に同じウェーハ上に製造することを容易に可能にする。すなわち、以下で詳細に記述されるように、FinFETデバイスおよびプレーナ型FETデバイスのゲート・パターニング、ゲート誘電体形成およびゲート・スタック形成は同時に起こり得る。
例えば、アナログFETデバイス、電源デバイスおよび異なる閾値電圧を有するFETデバイスなどの一定のデバイスはプレーナ型FETデバイスにおいて遥かに容易に製造され得るから、そのようなハイブリッド構成は有利である。FinFET装置は、ロジックFETデバイスおよびスタティック・ランダム・アクセス・メモリ(SRAM)FETデバイスなどの特定の用途のために最適化され得る。
在来のFinFET製造プロセスは、プレーナ型FETデバイスとは非常に調和させにくい。例えば、FinFETデバイスでは、ゲートまたはスペーサあるいはその両方のRIEの間フィンを保護するためにハードマスクが必要とされる。しかし、プレーナ型FETデバイスでは、チャネルの上にゲート・酸化物層が必要とされる。在来の製造プロセスを用いれば、ハードマスクは、フィン上に堆積させられ、その後にゲート・酸化物層を堆積させるために各プレーナ型FETデバイスから別々に除去されなければならないであろう。このプロセスは時間を消費し、実際的でない。しかし、本技術では、ゲートはスペーサのRIEの後に置かれ、このことは、スペーサRIEの間ハードマスクが所定位置に存在することを意味し、それはその後にゲートを付ける前に除去される(上の、図1−図15についての記述を参照されたい)。従って、プレーナ型FETデバイス上にゲート・酸化物層を堆積させることはより容易である。
さらに、FinFETデバイスに関しては、フィンのトポロジーを覆うためにより高さの高い(プレーナ型FETデバイスに比べて)ゲートが必要とされる。その後、ゲートの頂部を平坦化するために、殆どの場合にその後のCMPが必要とされる。ソース/ドレイン領域を拡張するためにエピタキシャル・シリコン成長が使用されるならば、エピタキシャル・シリコンがゲートに接触することを防止するためにハードマスクがゲート上に必要とされる。ゲートのRIEは、フィン側壁を、それがシリコンにぶつかったら直ぐに単に止める代わりに、きれいにしなければならない。プレーナ型FETデバイスのためには、これらのプロセスのいずれも不要である。しかし、本技術では、ダミー・ゲートの使用(上の、図1−図15についての記述を参照されたい)は、FinFETデバイスとプレーナ型FETデバイスとのトポロジー差を無くし、これら2つのプロセス技術の統合をより容易にする。
また、FinFETデバイスに関して、スペーサを形成することは非常に複雑なプロセスとなることがある、すなわち、フィン側壁をきれいにするために長いオーバー・エッチングを必要とすることがある。その結果として、複数のスペーサを作ることは一般的には実行不能である。対照的に、プレーナ型FETデバイスでは、複数のスペーサがしばしば使用される。しかし、本技術では、フィンはゲートの下にだけ作られる(上の、図1−図15についての記述を参照されたい)。いったんフィンがゲートで覆われれば、デバイスはプレーナ型FETデバイスと同様であり、例えばスペーサを付け加えるなど、プレーナ型FETデバイスと同様に処理され得る。
図21(A)は、代表的FinFETデバイス700の伝導経路に沿う横断面図を示す画像である。FinFETデバイス700は、上記の図1−図15についての記述と関連して記述された方法100に従って製造された。図21(A)〜(B)に示されているように、FinFETデバイス700はゲート702と、ソース/ドレイン領域704と、スペーサ706とを含む。この図で、デバイスのフィンはゲートによって隠されている。図21(B)は、ゲート702に埋め込まれたフィン708を示す代表的FinFETデバイス700の横断面図を示す画像である。
図22(A)は、本FinFET製造技術を用いるフィン804およびスペーサ806画定後のゲート・トレンチ802のトップ・ダウン図を示す画像である。図22(A)に示されているように、ゲート長さは、ダミー・ゲート長さ803とスペーサ長さ805との両方によって決定される。図22(B)は、本FinFETデバイスについて、ダミー・ゲートの長さと置換ゲートすなわちデバイス・ゲートの長さとの相関を示すグラフ808である。グラフ808のためのデータは、図22(A)に使用されているもののような、スペーサ画定後のゲート・トレンチのトップ・ダウン画像から集められた。
図23(A)〜(B)は、本技術に従って製造された代表的FinFETデバイスの電流電圧(I−V)特性を示すグラフである。図23(A)において、ゲート−ソース電圧(Vgs)(ボルト(V)単位で測られる)が1Vのドレイン−ソース電圧(VDS)と50ミリボルト(mV)のVDSとの両方についてドレイン−ソース電流(Ids)(1マイクロメートルあたりのアンペア数(A/μm)で測られる)の関数としてプロットされている。
図23(B)は、約28nmのゲート長さをそれぞれ有するネガティブ型チャネル電界効果トランジスタ(NFET)とポジティブ型チャネル電界効果トランジスタ(PFET)とについて出力特性を示すグラフである。このNFETはヒ素でドープされ、PFETは二フッ化ホウ素(BF)でドープされている。図23(B)において、ドレイン−ソース電圧(Vds)がドレイン−ソース電流(Ids)の関数としてプロットされている。
図24は、本FinFETデバイスについてオフ電流(Ioff)(1μmあたりのマイクロアンペア(μA)数(μA/μm)で測られる)に対するオン電流(Ion)(A/μmで測られる)の相関(Ion/Ioff)を示すグラフである。PFETデータはゼロ・ボルトから1ボルトまでのウィンドウ(供給電圧)でのドレイン−ドレイン電圧(Vdd)について与えられている。NFETデータは−0.15ボルトから0.85ボルトまでのVddウィンドウについて与えられている。
図25−図28は、本技術に従って製造された代表的FinFETデバイスの短チャネル効果の挙動を示すグラフである。図25および図26において、それぞれPFETおよびNFETの閾値電圧(Vt)が、線形領域(VtLIN)および飽和領域(VtSAT)の両方について推定されたLpoly(nm単位で測られる)すなわちゲート長さの関数としてプロットされている。図27および図28においては、それぞれ、ドレイン誘起障壁低下(drain induced barrier lowering(DIBL))(ミリボルト単位で測られる)およびサブスレッショルドの傾き(1ディケードあたりのミリボルト数(mV/dec)で測られる)が推定されたLpolyの関数としてプロットされている。Vは、1マイクロメートル当たり10ナノアンペア(10nA/μm)の一定電流で抽出されている。サブスレッショルドの傾きは、PFETについてはゼロ・ボルトで、NFETについては−0.15ボルトで抽出されている。ドープされていないチャネルとポリシリコン・ゲートとに起因してFinFETデバイスは中央に置かれていないということに留意されたい。Lpolyが減ぜられる時Vロールオフが観察され、それはドープされていないチャネルと矛盾しない。
図29は、本FinFETデバイスについて外部抵抗(Rext)抽出を示すグラフである。図29において、オン抵抗(Ron)、すなわちデバイス抵抗、(オーム−μm(Ω−μm)単位で測られる)が、推定されたLpoly(nm単位で測られる)の関数としてプロットされている。Ronは、VGS=V+0.9V、VDS=50mVで計算される。外挿y切片は、NFETについては約750Ω−μmの、PFETについては950Ω−μmのRextを与える。
図30(A)〜(C)は、本FinFETデバイスについてのネガティブ型チャネル電界効果トランジスタNFETキャパシタンス測定値を示すグラフである。図30(A)において、フィンあたりのゲート−ドレイン・キャパシタンス(Cgd)(アトファラド(aF)単位で測られる)が、いろいろなゲート長さ(Lpoly)およびフィン・ピッチについてゲート電圧(Vg)の関数としてプロットされている。
図30(B)において、フィンあたりの反転キャパシタンス(Cinv)(aF単位で測られる)が、約18オングストローム(A)の反転層厚さおよび約26Aの反転層厚さについてゲート長さ(Lpoly)(nm単位で測られる)の関数としてプロットされている。図30(B)は、Cinvが約18Aと約26Aの間の反転層厚さと矛盾しないことを示している。
図30(C)において、フィンあたりのフリンジ・キャパシタンス(Cfringe)(aFと、マイクロメートルあたりのフェムトファラド数(fF/μm)との両方で測られる)がフィン・ピッチ(nm単位で測られる)の関数としてプロットされている。図30(C)は、Cfringeのフィン・ピッチに対する依存を示す。
従って、FinFETにより提供されるより良好な短チャネル効果は、より短いゲートと減ぜられたCinvとを可能にするかもしれないが、Cinv減少からのゲインはFinFETにおける増大した寄生フリンジ・キャパシタンスにより相殺され得る。実際、図30(A)のキャパシタンス−電圧(C−V)カーブは、高いオフ状態キャパシタンス値を示している。このオフ状態キャパシタンスは、ダイレクト・オーバーラップ・キャパシタンスと、フリンジ・キャパシタンスと、ゲートおよびコンタクト・スタッド間のキャパシタンスとを含む。これらの種々のコンポーネントのうち、フリンジ・キャパシタンスだけがフィン・ピッチとスケールすると期待されるので、オフ状態キャパシタンスのフィン・ピッチに対する依存(図30(C))はフリンジ・キャパシタンスを推定することを可能にする。
本発明の実例実施態様が本書に記載されたけれども、本発明はこれらの実施態様そのものに限定されないこと、また本発明の範囲から逸脱せずに当業者によって他の種々の変更および改変が行われ得ることが理解されるべきである。

Claims (13)

  1. 電界効果トランジスタ・デバイスを製造する方法であって、
    基板上にシリコン層を形成するステップと、
    前記シリコン層上で複数のフィンを形成するためのフィン・リソグラフィー・ハードマスクをパターニングするステップと、
    前記フィン・リソグラフィー・ハードマスクの中央部分の上面及び側面を覆うダミー・ゲート構造を配置するステップと、
    前記ダミー・ゲート構造の周りにフィラー層を堆積させるステップと、
    前記ダミー・ゲート構造を除去して、前記デバイスのソース領域およびドレイン領域から前記デバイスのフィン領域を区別する、前記フィン・リソグラフィー・ハードマスクの前記中央部分の上を中心とするトレンチを、前記フィラー層に形成するステップと、
    前記フィン領域内の前記フィン・リソグラフィー・ハードマスクを使用して、前記シリコン層をエッチングして複数のフィンを形成するステップと、
    前記トレンチをゲート材料で充填して、前記フィンの上にゲート・スタックを形成するステップと、
    前記フィラー層を除去して、前記デバイスの前記ソース領域および前記ドレイン領域を露出するステップとを順次実行することを含み、
    前記ソース領域および前記ドレイン領域は無傷であって前記ゲート・スタックと自己整合させられている、方法。
  2. 前記トレンチを前記ゲート材料で充填して前記ゲート・スタックを形成するステップの前に、前記フィン領域から前記フィン・リソグラフィー・ハードマスクを除去するステップをさらに含む、請求項に記載の方法。
  3. 前記トレンチの1つまたはそれ以上の側壁と前記フィンの1つまたはそれ以上の表面との少なくとも一部分の上で前記トレンチ内に窒化物スペーサ材料を堆積させるステップと、
    50パーセントと80パーセントとの間の時限オーバー・エッチングを伴う、反応イオン・エッチングを使用して、前記フィンの前記表面から前記スペーサ材料を選択的に除去するステップと、をさらに含む、請求項1または2に記載の方法。
  4. 前記フィンの表面と比べて前記トレンチの側壁の上により多くのゲート誘電体材料を設けるために差分誘電体成長を用いて前記トレンチの前記側壁のうちの1つまたはそれ以上と前記フィンの1つまたはそれ以上の前記表面との少なくとも一部分の上に前記ゲート誘電体材料を設けるステップをさらに含む、請求項1乃至のいずれかに記載の方法。
  5. 前記ゲート・スタックはフレア形部分を有し、
    前記ゲートから前記フレア形部分を除去するステップをさらに含む、請求項1乃至のいずれかに記載の方法。
  6. 前記ダミー・ゲート構造は多結晶シリコンを含み、
    100ナノメートルと150ナノメートルの間の高さと、30ナノメートルと50ナノメートルの間の長さとを有する、請求項1乃至のいずれかに記載の方法。
  7. 前記ゲート・スタックは多結晶シリコン、金属および金属/多結晶シリコン・ハイブリッドのうちの1つまたはそれ以上を含む、請求項1乃至のいずれかに記載の方法。
  8. 前記フィン・リソグラフィー・ハードマスクは、窒化物フィン・ハードマスク層と酸化物フィン・ハードマスク層とを含む二重ハードマスク構造である、請求項1乃至のいずれかに記載の方法。
  9. 前記フィンはシリコン選択的反応イオン・エッチングを用いてエッチングされる、請求項1乃至のいずれかに記載の方法。
  10. 前記ゲート・スタックはダマシン・プロセスを用いて前記トレンチ内に形成される、請求項1乃至のいずれかに記載の方法。
  11. 前記フィン・リソグラフィー・ハードマスクをパターニングする前記ステップは、
    電子線リソグラフィーを用いてレジスト材料スタックをパターニングするステップと、
    前記レジスト材料スタックのパターンを炭素ベースのレジストに転写するステップと、
    反応イオン・エッチングによって前記転写後の炭素ベースのレジストを用いて前記フィン・リソグラフィー・ハードマスクをパターニングするステップと、をさらに含む、請求項1乃至10のいずれかに記載の方法。
  12. ホウ素およびリンのうちの1つまたはそれ以上を含むドーピング材で前記ゲート・スタックをドープするステップをさらに含む、請求項1乃至11のいずれかに記載の方法。
  13. 前記ソース領域および前記ドレイン領域のうちの1つまたはそれ以上の上にシリサイド領域を形成するステップをさらに含む、請求項1乃至12のいずれかに記載の方法。
JP2010512624A 2007-06-20 2008-06-02 電界効果トランジスタ・デバイスの製造方法 Active JP5489992B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/765,931 US7923337B2 (en) 2007-06-20 2007-06-20 Fin field effect transistor devices with self-aligned source and drain regions
US11/765,931 2007-06-20
PCT/EP2008/056733 WO2008155208A1 (en) 2007-06-20 2008-06-02 Fin field effect transistor devices with self-aligned source and drain regions

Publications (2)

Publication Number Publication Date
JP2010530623A JP2010530623A (ja) 2010-09-09
JP5489992B2 true JP5489992B2 (ja) 2014-05-14

Family

ID=39791351

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010512624A Active JP5489992B2 (ja) 2007-06-20 2008-06-02 電界効果トランジスタ・デバイスの製造方法

Country Status (6)

Country Link
US (3) US7923337B2 (ja)
EP (1) EP2168151A1 (ja)
JP (1) JP5489992B2 (ja)
KR (2) KR20120079487A (ja)
TW (1) TW200908160A (ja)
WO (1) WO2008155208A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9768255B2 (en) 2015-04-14 2017-09-19 Samsung Electronics Co., Ltd. Semiconductor devices including contact structures that partially overlap silicide layers

Families Citing this family (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2707169B1 (fr) * 1993-06-04 1995-09-01 Pasteur Institut Antigène cellulaire CD26 impliqué dans l'infection par un rétrovirus hiv. nouveaux inhibiteurs de l'infection par HIV. * (Dipeptidyl-peptidase IV).
FR2707170A1 (fr) * 1993-06-04 1995-01-13 Pasteur Institut Expression des récepteurs CD4 et CD26 dans des cellules recombinantes, inhibiteurs du récepteur CD26.
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US9563733B2 (en) * 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8030163B2 (en) * 2007-12-26 2011-10-04 Intel Corporation Reducing external resistance of a multi-gate device using spacer processing techniques
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
JP4518180B2 (ja) * 2008-04-16 2010-08-04 ソニー株式会社 半導体装置、および、その製造方法
US8631383B2 (en) * 2008-06-30 2014-01-14 Qimonda Ag Integrated circuits, standard cells, and methods for generating a layout of an integrated circuit
SG192532A1 (en) 2008-07-16 2013-08-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
US8153493B2 (en) * 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US8120073B2 (en) * 2008-12-31 2012-02-21 Intel Corporation Trigate transistor having extended metal gate electrode
US7893492B2 (en) * 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US8331068B2 (en) 2009-02-19 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. ESD protection for FinFETs
JP2010258124A (ja) 2009-04-23 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8422273B2 (en) * 2009-05-21 2013-04-16 International Business Machines Corporation Nanowire mesh FET with multiple threshold voltages
US8053318B2 (en) * 2009-06-25 2011-11-08 International Business Machines Corporation FET with replacement gate structure and method of fabricating the same
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US20110101506A1 (en) * 2009-10-29 2011-05-05 International Business Machines Corporation Stress Memorization Technique Using Silicon Spacer
US8399314B2 (en) 2010-03-25 2013-03-19 International Business Machines Corporation p-FET with a strained nanowire channel and embedded SiGe source and drain stressors
DE102010029527B4 (de) 2010-05-31 2012-04-05 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
DE102010064283B4 (de) * 2010-12-28 2012-12-27 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren zur Herstellung eines selbstjustierten Steg-Transistors auf einem Vollsubstrat durch eine späte Stegätzung
DE102011003232B4 (de) * 2011-01-27 2013-03-28 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Herstellverfahren für Metallgateelektrodenstrukturen mit großem ε, die durch ein Austauschgateverfahren auf der Grundlage einer verbesserten Ebenheit von Platzhaltermaterialien hergestellt sind
US20120306000A1 (en) * 2011-05-31 2012-12-06 International Business Machines Corporation Formation of Field Effect Transistor Devices
KR101797961B1 (ko) * 2011-06-09 2017-11-16 삼성전자주식회사 반도체 장치의 제조 방법
US8637359B2 (en) 2011-06-10 2014-01-28 International Business Machines Corporation Fin-last replacement metal gate FinFET process
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8723272B2 (en) * 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US9018090B2 (en) 2011-10-10 2015-04-28 International Business Machines Corporation Borderless self-aligned metal contact patterning using printable dielectric materials
US9368502B2 (en) 2011-10-17 2016-06-14 GlogalFoundries, Inc. Replacement gate multigate transistor for embedded DRAM
US8420459B1 (en) 2011-10-20 2013-04-16 International Business Machines Corporation Bulk fin-field effect transistors with well defined isolation
US8580624B2 (en) * 2011-11-01 2013-11-12 International Business Machines Corporation Nanowire FET and finFET hybrid technology
US8569125B2 (en) * 2011-11-30 2013-10-29 International Business Machines Corporation FinFET with improved gate planarity
US8563376B2 (en) 2011-12-16 2013-10-22 International Business Machines Corporation Hybrid CMOS nanowire mesh device and bulk CMOS device
US8709888B2 (en) 2011-12-16 2014-04-29 International Business Machines Corporation Hybrid CMOS nanowire mesh device and PDSOI device
US8722472B2 (en) 2011-12-16 2014-05-13 International Business Machines Corporation Hybrid CMOS nanowire mesh device and FINFET device
KR101700213B1 (ko) * 2011-12-21 2017-01-26 인텔 코포레이션 금속 산화물 반도체 소자 구조용 핀의 형성 방법
US8941214B2 (en) 2011-12-22 2015-01-27 Intel Corporation Semiconductor device having a necked semiconductor body and method of forming semiconductor bodies of varying width
WO2013101237A1 (en) * 2011-12-31 2013-07-04 Intel Corporation Hard mask etch stop for tall fins
US8643120B2 (en) * 2012-01-06 2014-02-04 International Business Machines Corporation FinFET with fully silicided gate
US8759184B2 (en) * 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8557648B2 (en) 2012-01-11 2013-10-15 International Business Machines Corporation Recessed source and drain regions for FinFETs
US8659097B2 (en) 2012-01-16 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Control fin heights in FinFET structures
US8697523B2 (en) 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US8637371B2 (en) 2012-02-16 2014-01-28 International Business Machines Corporation Non-planar MOSFET structures with asymmetric recessed source drains and methods for making the same
KR101876793B1 (ko) * 2012-02-27 2018-07-11 삼성전자주식회사 전계효과 트랜지스터 및 그 제조 방법
US8987835B2 (en) 2012-03-27 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with a buried semiconductor material between two fins
US8652932B2 (en) 2012-04-17 2014-02-18 International Business Machines Corporation Semiconductor devices having fin structures, and methods of forming semiconductor devices having fin structures
US8946782B2 (en) 2012-04-19 2015-02-03 International Business Machines Corporation Method for keyhole repair in replacement metal gate integration through the use of a printable dielectric
CN102646642B (zh) * 2012-05-03 2014-01-29 上海华力微电子有限公司 基于SOI的后栅型积累模式Si-NWFET制备方法
CN102646643B (zh) * 2012-05-03 2014-03-12 上海华力微电子有限公司 基于SOI的积累型Si-NWFET制备方法
US8796812B2 (en) 2012-07-30 2014-08-05 International Business Machines Corporation Self-aligned trench over fin
US8754527B2 (en) 2012-07-31 2014-06-17 International Business Machines Corporation Self aligned borderless contact
US8669167B1 (en) 2012-08-28 2014-03-11 International Business Machines Corporation Techniques for metal gate workfunction engineering to enable multiple threshold voltage FINFET devices
US8987093B2 (en) * 2012-09-20 2015-03-24 International Business Machines Corporation Multigate finFETs with epitaxially-grown merged source/drains
US8765563B2 (en) 2012-09-28 2014-07-01 Intel Corporation Trench confined epitaxially grown device layer(s)
US8969963B2 (en) 2012-10-12 2015-03-03 International Business Machines Corporation Vertical source/drain junctions for a finFET including a plurality of fins
US9177820B2 (en) * 2012-10-24 2015-11-03 Globalfoundries U.S. 2 Llc Sub-lithographic semiconductor structures with non-constant pitch
US8987823B2 (en) 2012-11-07 2015-03-24 International Business Machines Corporation Method and structure for forming a localized SOI finFET
US8766363B2 (en) 2012-11-07 2014-07-01 International Business Machines Corporation Method and structure for forming a localized SOI finFET
US8799848B1 (en) * 2013-01-15 2014-08-05 International Business Machines Corporation Methods for modeling of FinFET width quantization
US9190419B2 (en) 2013-02-07 2015-11-17 International Business Machines Corporation Diode structure and method for FINFET technologies
US9006087B2 (en) 2013-02-07 2015-04-14 International Business Machines Corporation Diode structure and method for wire-last nanomesh technologies
US8927397B2 (en) 2013-02-07 2015-01-06 International Business Machines Corporation Diode structure and method for gate all around silicon nanowire technologies
WO2014124795A1 (en) 2013-02-14 2014-08-21 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
CN110047752B (zh) 2013-03-15 2023-03-17 索尼公司 利用硬掩模层的纳米线晶体管制造
US8969155B2 (en) 2013-05-10 2015-03-03 International Business Machines Corporation Fin structure with varying isolation thickness
US9793378B2 (en) 2013-05-31 2017-10-17 Stmicroelectronics, Inc. Fin field effect transistor device with reduced overlap capacitance and enhanced mechanical stability
US9209086B2 (en) 2013-07-22 2015-12-08 Globalfoundries Inc. Low temperature salicide for replacement gate nanowires
KR102072410B1 (ko) 2013-08-07 2020-02-03 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9515163B2 (en) * 2013-09-09 2016-12-06 Globalfoundries Inc. Methods of forming FinFET semiconductor devices with self-aligned contact elements using a replacement gate process and the resulting devices
US9508796B2 (en) * 2013-10-03 2016-11-29 Intel Corporation Internal spacers for nanowire transistors and method of fabrication thereof
CN104576380B (zh) * 2013-10-13 2017-09-15 中国科学院微电子研究所 一种finfet制造方法
CN104576386B (zh) * 2013-10-14 2018-01-12 中国科学院微电子研究所 一种FinFET及其制造方法
KR102085525B1 (ko) 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
EP2887399B1 (en) * 2013-12-20 2017-08-30 Imec A method for manufacturing a transistor device and associated device
CN104752224B (zh) * 2013-12-31 2018-03-09 中芯国际集成电路制造(上海)有限公司 FinFET器件及其制作方法
KR102224525B1 (ko) 2014-02-03 2021-03-08 삼성전자주식회사 레이아웃 디자인 시스템, 이를 이용하여 제조한 반도체 장치 및 그 반도체 장치의 제조 방법
US9543407B2 (en) * 2014-02-27 2017-01-10 International Business Machines Corporation Low-K spacer for RMG finFET formation
US9209095B2 (en) * 2014-04-04 2015-12-08 International Business Machines Corporation III-V, Ge, or SiGe fin base lateral bipolar transistor structure and method
CN105225951B (zh) 2014-05-30 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9443978B2 (en) 2014-07-14 2016-09-13 Samsung Electronics Co., Ltd. Semiconductor device having gate-all-around transistor and method of manufacturing the same
US9659827B2 (en) 2014-07-21 2017-05-23 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices by forming source/drain regions before gate electrode separation
KR102202753B1 (ko) 2014-08-11 2021-01-14 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN105470133B (zh) * 2014-09-06 2018-07-31 中国科学院微电子研究所 半导体器件制造方法
KR102217246B1 (ko) 2014-11-12 2021-02-18 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9985112B2 (en) 2015-02-06 2018-05-29 International Business Machines Corporation Sloped finFET with methods of forming same
US9443738B2 (en) * 2015-02-06 2016-09-13 Globalfoundries Inc. Integrated circuits with middle of line capacitance reduction in self-aligned contact process flow and fabrication methods
US9496338B2 (en) 2015-03-17 2016-11-15 International Business Machines Corporation Wire-last gate-all-around nanowire FET
KR102317646B1 (ko) 2015-04-14 2021-10-27 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9431521B1 (en) * 2015-09-18 2016-08-30 International Business Machines Corporation Stress memorization technique for strain coupling enhancement in bulk finFET device
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
US10177143B2 (en) 2015-10-28 2019-01-08 Taiwan Semiconductor Manufacturing Company Limited FinFET device and method for fabricating the same
US9559192B1 (en) 2015-11-18 2017-01-31 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device
US9397006B1 (en) 2015-12-04 2016-07-19 International Business Machines Corporation Co-integration of different fin pitches for logic and analog devices
US9698251B1 (en) 2016-09-26 2017-07-04 International Business Machines Corporation Fin reveal last for finfet
US9754798B1 (en) 2016-09-28 2017-09-05 International Business Machines Corporation Hybridization fin reveal for uniform fin reveal depth across different fin pitches
US9985109B2 (en) 2016-10-25 2018-05-29 International Business Machines Corporation FinFET with reduced parasitic capacitance
US10269647B2 (en) * 2017-01-20 2019-04-23 Applied Materials, Inc. Self-aligned EPI contact flow
US11156914B2 (en) * 2017-02-10 2021-10-26 Northeastern University Damascene template for nanoelement printing fabricated without chemomechanical planarization
US10242867B2 (en) * 2017-05-18 2019-03-26 Globalfoundaries Inc. Gate pickup method using metal selectivity
US10374066B2 (en) 2017-07-11 2019-08-06 International Business Machines Corporation Fin and shallow trench isolation replacement to prevent gate collapse
US10134739B1 (en) 2017-07-27 2018-11-20 Globalfoundries Inc. Memory array with buried bitlines below vertical field effect transistors of memory cells and a method of forming the memory array
DE102018126911A1 (de) 2017-11-30 2019-06-06 Intel Corporation Gate-Schnitt und Finnentrimmisolation für fortschrittliche Integrierter-Schaltkreis-Struktur-Fertigung
KR20200083981A (ko) 2017-11-30 2020-07-09 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
US10636894B2 (en) 2018-03-09 2020-04-28 Globalfoundries Inc. Fin-type transistors with spacers on the gates
US10529831B1 (en) 2018-08-03 2020-01-07 Globalfoundries Inc. Methods, apparatus, and system for forming epitaxial formations with reduced risk of merging

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6118161A (en) * 1997-04-30 2000-09-12 Texas Instruments Incorporated Self-aligned trenched-channel lateral-current-flow transistor
JP4939690B2 (ja) * 2001-01-30 2012-05-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
JP2003229575A (ja) * 2002-02-04 2003-08-15 Hitachi Ltd 集積半導体装置及びその製造方法
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6762483B1 (en) * 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6911383B2 (en) * 2003-06-26 2005-06-28 International Business Machines Corporation Hybrid planar and finFET CMOS devices
US7013447B2 (en) 2003-07-22 2006-03-14 Freescale Semiconductor, Inc. Method for converting a planar transistor design to a vertical double gate transistor design
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
US6897098B2 (en) 2003-07-28 2005-05-24 Intel Corporation Method of fabricating an ultra-narrow channel semiconductor device
JP3860582B2 (ja) * 2003-07-31 2006-12-20 株式会社東芝 半導体装置の製造方法
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
JP2005086024A (ja) * 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
US6927104B2 (en) * 2003-09-15 2005-08-09 Chartered Semiconductor Manufacturing Ltd. Method of forming double-gated silicon-on-insulator (SOI) transistors with corner rounding
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7186599B2 (en) 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7087471B2 (en) 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
DE102004031385B4 (de) 2004-06-29 2010-12-09 Qimonda Ag Verfahren zur Herstellung von Stegfeldeffekttransistoren in einer DRAM-Speicherzellenanordnung, Feldeffekttransistoren mit gekrümmtem Kanal und DRAM-Speicherzellenanordnung
US6960509B1 (en) * 2004-06-30 2005-11-01 Freescale Semiconductor, Inc. Method of fabricating three dimensional gate structure using oxygen diffusion
US6949768B1 (en) * 2004-10-18 2005-09-27 International Business Machines Corporation Planar substrate devices integrated with finfets and method of manufacture
US7094650B2 (en) 2005-01-20 2006-08-22 Infineon Technologies Ag Gate electrode for FinFET device
US7488650B2 (en) * 2005-02-18 2009-02-10 Infineon Technologies Ag Method of forming trench-gate electrode for FinFET device
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
EP1727194A1 (en) 2005-05-27 2006-11-29 Interuniversitair Microelektronica Centrum vzw ( IMEC) Method for high topography patterning

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9768255B2 (en) 2015-04-14 2017-09-19 Samsung Electronics Co., Ltd. Semiconductor devices including contact structures that partially overlap silicide layers
US10403717B2 (en) 2015-04-14 2019-09-03 Samsung Electronics Co., Ltd. Semiconductor devices including contact structures that partially overlap silicide layers

Also Published As

Publication number Publication date
US20140042556A1 (en) 2014-02-13
US20090302372A1 (en) 2009-12-10
JP2010530623A (ja) 2010-09-09
WO2008155208A1 (en) 2008-12-24
TW200908160A (en) 2009-02-16
KR20100029738A (ko) 2010-03-17
EP2168151A1 (en) 2010-03-31
US8890261B2 (en) 2014-11-18
US8592280B2 (en) 2013-11-26
US20080315309A1 (en) 2008-12-25
KR20120079487A (ko) 2012-07-12
US7923337B2 (en) 2011-04-12

Similar Documents

Publication Publication Date Title
JP5489992B2 (ja) 電界効果トランジスタ・デバイスの製造方法
KR101370716B1 (ko) 반도체 소자와 그 제조 및 설계 방법
US7247887B2 (en) Segmented channel MOS transistor
US7265008B2 (en) Method of IC production using corrugated substrate
US7528465B2 (en) Integrated circuit on corrugated substrate
US8674413B1 (en) Methods of forming fins and isolation regions on a FinFET semiconductor device
US20210366784A1 (en) FinFET Device with Different Liners for PFET and NFET and Method of Fabricating Thereof
US9698270B2 (en) FinFET with dual workfunction gate structure
US20140203376A1 (en) Finfet integrated circuits with uniform fin height and methods for fabricating the same
US9564367B2 (en) Methods of forming different FinFET devices with different threshold voltages and integrated circuit products containing such devices
US9202919B1 (en) FinFETs and techniques for controlling source and drain junction profiles in finFETs
US8293606B2 (en) Body tie test structure for accurate body effect measurement
TW201401513A (zh) 形成具有替代通道材料之鰭式場效電晶體設備的方法
US11502077B2 (en) Semiconductor devices having fin field effect transistor (FinFET) structures and manufacturing and design methods thereof
KR20220031071A (ko) 상이한 트랜지스터 아키텍처들을 가지는 트랜지스터의 다수의 평면으로 막 적층체를 형성하기 위한 방법
US10615159B2 (en) Integrated LDMOS and VFET transistors
US9166025B1 (en) Methods of forming a nanowire device with a gate-all-around-channel configuration and the resulting nanowire device
US20180182778A1 (en) Structure and method for fully depleted silicon on insulator structure for threshold voltage modification
US9666717B2 (en) Split well zero threshold voltage field effect transistor for integrated circuits
US9634009B1 (en) System and method for source-drain extension in FinFETs
US9748236B1 (en) FinFET device with enlarged channel regions

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130205

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20130415

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131113

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140204

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20140204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140225

R150 Certificate of patent or registration of utility model

Ref document number: 5489992

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250