JP4659732B2 - 半導体層を形成する方法 - Google Patents

半導体層を形成する方法 Download PDF

Info

Publication number
JP4659732B2
JP4659732B2 JP2006503082A JP2006503082A JP4659732B2 JP 4659732 B2 JP4659732 B2 JP 4659732B2 JP 2006503082 A JP2006503082 A JP 2006503082A JP 2006503082 A JP2006503082 A JP 2006503082A JP 4659732 B2 JP4659732 B2 JP 4659732B2
Authority
JP
Japan
Prior art keywords
semiconductor layer
layer
substrate
superlattice
annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2006503082A
Other languages
English (en)
Other versions
JP2006520096A (ja
Inventor
ウェストホフ,リチャード
ヴィネイス,クリストファー,ジェイ
キュリー,マシュー,ティー
ヤン,ヴィッキー,ケイ
ライツ,クリストファー,ダブリュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2006520096A publication Critical patent/JP2006520096A/ja
Application granted granted Critical
Publication of JP4659732B2 publication Critical patent/JP4659732B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L21/3247Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering for altering the shape, e.g. smoothing the surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Recrystallisation Techniques (AREA)
  • Drying Of Semiconductors (AREA)
  • Weting (AREA)
  • Photovoltaic Devices (AREA)

Description

関連出願
本出願は、2003年1月27日付けの米国仮出願第60/442,784号の利益を主張するものであり、その内容全体は、参照により本出願に組み込まれている。
技術分野
本発明は、一般には半導体基板に関し、詳細には歪み半導体層を備えている基板に関する。
シリコン(Si)およびゲルマニウム(Ge)をベースとした「仮想基板」は、超大規模集積回路(VLSI)デバイスの新たな世代のためのプラットホームを提供する。このようなデバイスは、バルクSi基板上に製造されたデバイスと比較してより高性能である。SiGe仮想基板の重要な要素は、それ自体の平衡格子定数(つまり、Siの格子定数よりも大きな格子定数)にまで緩和されたSiGeの層である。この緩和SiGe層は、Siに直接的に(例えばウェハボンディングまたは直接的なエピタキシー法により)、またはSiGe材料の格子定数が層の厚さにわたって徐々に増加していく緩和傾斜SiGe緩衝層上に適用させることができる。SiGe仮想基板は、シリコンオンインシュレータ(SOI)ウェハのように埋め込まれた絶縁体層を組み込んでいてもよい。高い性能のデバイスをこのようなプラットフォーム上に製造するために、半導体、例えばSi、GeまたはSiGeの薄い歪み層を、緩和SiGe仮想基板上に成長させる。これにより得られる二軸性の引張り歪みおよび圧縮歪みは、層中でのキャリア移動度を変化させ、これにより高速かつ/または低電力消費のデバイスの製造が可能となる。薄い歪み半導体層は、続いて、ウェハボンディングのような方法で絶縁体層を有する別の基板へと移すこともでき、このようにして、歪みセミコンダクタオンインシュレータ(SSOI)ウェハが形成される。
ある場合においては、アズグロウンの半導体傾斜緩衝層の微細構造は、成長条件によっては理想よりも劣るものが得られることがある。例えば、1123K(850℃)より低い温度で堆積させたSiGe緩衝層は、歪みSiの適用のために望まれる、つまり98%より高い緩和状態に到達しないことがある。これに加えて、貫通転位の密度は、所望の値よりも高くなってしまうことがある。さらに、高温および低温の両方の成長条件によって、得られるアズグロウン傾斜緩衝層の最上表面が、その後に歪み半導体層の堆積層が設けられる緩和半導体キャップ層の成長(例えば、Geを20%含有するSiGe層を再成長させて、これに続いて歪みSiの堆積を行う)のために好ましい超平坦表面よりも粗い最上表面を有することもある。この粗さは維持され、続いて形成される層において増大しうる。さらに、層表面の粗さは、平坦化および再成長の前および後で、層内の欠陥に対する光学的な検査を行うレーザー走査装置の性能にネガティブな影響を与える。粗さは、レーザースキャナの散乱信号において、「ヘイズ(くもり、haze)」またはバックグラウンドノイズのレベルの上昇として現れ、層中および層上の小さな欠陥を検出する装置の性能を低下させる。したがって、半導体層のこの粗さを減少させることが望ましい。
要旨
歪みSiを製造するのに適した一技術は、以下のステップを含む。
1.シリコン基板を準備し、
2.前記シリコン基板上に、緩和傾斜SiGe緩衝層を、所定の最終Ge組成までエピタキシャル堆積させ、
3.前記SiGe緩衝層上に、一定組成の緩和SiGeキャップ層をエピタキシャル堆積させ、
4.前記ステップ2および3の途中またはステップ2および3の後のある時点で、前記層を、層の成長温度よりも高い温度でアニールして、歪みを緩和させるかまたは層の形態を変化させ、
5.前記SiGeキャップ層の表面を、例えば化学機械研磨(CMP)により平坦化し、それにより得られた平坦化された表面を洗浄し、
6.前記平坦化された表面上に、一定組成の緩和SiGe再成長層をエピタキシャル堆積させ、
7.前記SiGe再成長層上に、歪みSi層をエピタキシャル堆積させ、さらに
8.レーザー走査技術を用いて前記歪みSi層の表面品質を測定する。
高温でアニールを行うことによって、比較的低温、例えば1123K(850℃)より低い温度で堆積した層の特性を向上させることができる。歪み半導体層、例えば歪みシリコン層の製造のためには、緩和および貫通転位密度以外にも様々な層の特性が重要となる。例えば、高温の成長条件(1123K(850℃)より高い)では、傾斜SiGe緩衝層および一定組成SiGe緩衝層は微細構造の現象、例えば分解を起こしうる。分解は、時折、組成が変動する狭い垂直方向のバンド、つまり垂直方向の超格子として観察されうる。
平坦化プロセスのステップの前、後またはそのステップ間での高温のアニールを、半導体層の微細構造を改善するために用いることができる。層内での組成変動は減少し、これにより、洗浄ステップ(洗浄ステップでは、異なる速度で異なる組成物がエッチング除去される)後でさえも滑らさが保持される最上表面を有する層を形成することが可能となる。
いくつかの態様では、半導体層の成長パラメータおよび再成長層のパラメータの適切な選択によって、組成の超格子を回避することができる。
別の態様では、本発明は、半導体構造を形成する方法に関し、この方法では、基板を準備するし、半導体層を基板の最上表面上に形成することを含み、この半導体層は、少なくとも2種の元素を含んでおり、これらの元素は、半導体層内での初期の組成変動を規定するように分布する。この初期の組成変動を減少させるために、半導体層をアニールする。
この態様は、以下の特徴の1つ以上を有しうる。基板は第1の格子定数を有していて、半導体層は第2の格子定数を有しており、第1の格子定数は、第2の格子定数とは異なる。第1の元素は第1の濃度を有していて、第2の元素は第2の濃度を有しており、これらの第1および第2の各濃度は、少なくとも5%である。初期の組成変動は、半導体層中で、半導体層の堆積方向に対して垂直方向で周期的に変化している場合もある。この組成変動は、半導体層内で所定の幅と周期を有するカラムを規定しうる。。カラム周期は、約2000ナノメートル(nm)より小さい、例えば約1000nmより小さい。
この半導体層を、2種の元素のうち少なくとも1つがカラム周期の4分の1に少なくとも等しい拡散距離にわたり拡散するのに十分なアニール温度および/または時間でアニールすることができる。
初期の組成変動は、半導体層の堆積方向と平行な方向で変化し、周期性を有する超格子が規定されている場合もある。超格子の周期は、約100nmより小さく、好ましくは約50nmより小さく、より好ましくは約10nmより小さい。この半導体層を、2種の元素のうち少なくとも1つが超格子の周期の4分の1に少なくとも等しい拡散距離にわたり拡散するのに十分なアニール温度で、かつ/または2種の元素の少なくとも1つが超格子の周期の4分の1に少なくとも等しい拡散距離にわたり拡散するのに十分な時間でアニールすることができる。
半導体層を、堆積温度よりも高い温度でアニールすることができる。アニール温度は、約1073K(800℃)より高く、例えば約1273K(1000℃)より高い。
半導体層を、半導体層の融点より低いアニール温度、例えば1543K(1270℃)より低い温度でアニールすることができる。
少なくとも2種の元素のうちの少なくとも1つは、シリコンおよび/またはゲルマニウムとすることができる。半導体層の最上表面を平坦化することができる。半導体層の最上表面は、半導体層をアニールする前、アニール中またはアニール後に平坦化することができる。平坦化は、化学機械研磨、プラズマ平坦化、湿式化学エッチング、ガス相化学エッチング(好ましくは、高温、例えば1173K(900℃)より高い温度で、エッチング用化合物、例えば塩化水素(HCl)を含む雰囲気中で行う)、酸化に続くストリッピング、および/またはクラスターイオン線平坦化を含むことができる。
化学機械研磨は、第1および第2のステップを含むことができ、第1および第2の化学機械研磨ステップの間で、ならびに/または第1の化学機械研磨ステップの前に、半導体層をアニールすることができる。平坦化は、高温ステップを含むことができ、高温の平坦化ステップ中に半導体層をアニールすることができる。
半導体層の最上表面は、ウェハと接合させることができ、さらに、基板の少なくとも一部を除去することもでき、その場合、基板の一部を除去した後も、半導体層の少なくとも一部がウェハと接合したままであるようにする。
半導体層の最上表面の平坦化に続いて、この半導体層上に第2の層を形成することができる。この第2の層は、半導体層の格子定数に実質的に等しいまたは半導体層の格子定数と実質的に異なる格子定数を有する材料からなっている。第2の層の最上表面をウェハに接合し、基板の少なくとも一部を除去し、その場合、基板の一部を除去した後も、第2の層の少なくとも一部がウェハに接合したままであるようにする。
半導体層の最上表面の平坦化に続いて、この半導体層上に第2の層を形成することができる。この第2の層は、半導体層の格子定数に実質的に等しいまたは半導体層の格子定数と実質的に異なる格子定数を有する材料からなっている。第2の層の最上表面をウェハに接合し、基板の少なくとも一部を除去し、その場合、基板の一部を除去した後も、第2の層の少なくとも一部がウェハに接合したままであるようにする。第2の層は、(i)超格子を有する下部分、および(ii)その下部分上に設けられている上部分を含み、上部分は、超格子を実質的に有していない。
半導体層は、起伏のある表面を有しうる。この起伏表面は、半導体層の堆積中に形成されうる。基板も、起伏のある基板表面を有していることがあり、この起伏のある基板表面によって、半導体層の起伏のある表面の形成が促される。この起伏のある表面は振幅を有しており、初期の組成変動が、周期性を有する超格子を規定しており、この超格子の周期は、起伏のある表面の振幅よりも小さい。
基板上に緩和傾斜層を形成することができ、この場合、この緩和基板層上に半導体層が形成される。この緩和傾斜層は、半導体層が基板の格子空間とは異なる格子空間を有するように働き、同時に欠陥の核生成を減少させる。半導体層のアニールの前に、半導体層上に保護層を形成することができる。保護層は、例えば二酸化シリコンまたは窒化シリコンのような半導体層に対して実質的に不活性な材料を含むことができる。アニールは、多数のウェハ上でのバッチプロセスとして一度に、例えば管状炉中で実施することができ、これによりスループットおよび経済性が向上する。
別の態様では、本発明は、半導体構造を形成する方法であって、基板を準備するし、基板の最上表面上に半導体層を形成するために適した第1の複数のパラメータを選択することを含む方法に関し、この半導体層は、少なくとも2種の元素を含んでおり、これらの元素は、半導体層内の組成変動を規定するように分布する。ヘイズを有する半導体層を形成し、その後、ヘイズを除去するために、この半導体層を平坦化する。
この態様は、以下の特徴の1つ以上を有しうる。前記の半導体層の形成は、超格子を有する下部分を形成すること、およびこの下部分上に上部分を形成することを含むことができ、この上部分は、超格子を実質的に有していない。第1の複数のパラメータは、温度、前駆体、成長速度および/または圧力を含むことができる。この半導体層は、平坦化後に洗浄し、洗浄後にも、半導体層は実質的にヘイズを有していない状態が保持される。第2の複数のパラメータは、半導体層上に設けられる実質的にヘイズを有していない再成長層の形成に適するように選択することができ、半導体層は少なくとも2種の元素を有しており、これらの元素は、半導体層内で組成変動を規定するように分布する。第1の複数のパラメータは第1の温度を含み、第2の複数のパラメータは第2の温度を含む。第1の温度は、第2の温度よりも高い。第1の複数のパラメータは第1の成長速度を含み、第2の複数のパラメータは第2の成長速度を含む。第1の成長速度は、第2の成長速度より大きい。再成長層の形成は、超格子を有する下部分を形成することおよびこの下部分上に上部分を形成することを含み、上部分は、実質的に超格子を有していない。
別の態様では、本発明は、半導体構造であって、基板と、基板上に設けられている半導体層とを含み、半導体層は、少なくとも2種の元素を含んでいて、最上表面を有している。この半導体層の最上表面は、実質的にヘイズを有していない。
この態様は、以下の特徴の1つ以上を有しうる。最上表面の下に位置する半導体層の一部は、超格子を有していてもよい。基板と半導体層との間に緩和傾斜層を設けることができる。半導体層の最上表面の粗さの二乗平均平方根は、40μm×40μmの走査領域で、1nm(10オングストローム(Å))未満、好ましくは0.5nm(5Å)未満であり、その汚染レベルは0.29粒子/cm未満であり、この粒子の直径は0.12マイクロメートル(μm)である。好ましくは、粗さは、1μm×1μmの走査領域で、二乗平均平方根で0.1nm(1Å)未満である。
半導体層の最上表面の粗さの二乗平均平方根は、40μm×40μmの走査領域で、1nm(10Å)未満、好ましくは0.5nm(5Å)未満であり、その汚染レベルは0.16粒子/cm未満であり、その粒子の直径は0.16μmより大きい。好ましくは、粗さは、1μm×1μmの走査領域で、二乗平均平方根で0.1nm(1Å)未満である。
半導体層の最上表面の粗さの二乗平均平方根は、40μm×40μmの走査領域で、1nm(10Å)未満、好ましくは0.5nm(5Å)未満であり、その汚染レベルは0.08粒子/cm未満であり、その粒子の直径は0.2μmより大きい。好ましくは、粗さは、1μm×1μmの走査領域で、二乗平均平方根で0.1nm(1Å)未満である。
半導体の最上表面の粗さの二乗平均平方根は、40μm×40μmの走査領域で、1nm(10Å)未満、好ましくは0.5nm(5Å)未満であり、その汚染レベルは0.019粒子/cm未満であり、その粒子の直径は1μmより大きい。好ましくは、粗さは、1μm×1μmの走査領域で、二乗平均平方根で0.1nm(1Å)未満である。
半導体層の最上表面の粗さの二乗平均平方根は、1μm×1μmの走査領域で、0.05nm(0.5Å)未満であり、その汚染レベルは0.09粒子/cmであり、その粒子の直径は0.09μmより大きい。
別の態様では、本発明は、基板と、この基板上に設けられた半導体層とを含む半導体構造に関し、半導体層は、少なくとも2種の元素を含む。半導体層上には再成長層が設けられており、この再成長層は、実質的にヘイズのない最上表面を有している。
この態様は、以下の特徴の1つ以上を有しうる。再成長層は、シリコンのような半導体材料からなっていることができる。この再成長層は、歪みを有していることができる。また、再成長層の最上表面の下に位置する再成長層の一部は、超格子を有していることができる。
別の態様では、本発明は、ウェハと、このウェハに接合している半導体層とを含む半導体構造に関し、この半導体層は、実質的にヘイズのない最上表面を有している。
この態様は、以下の特徴の1つ以上を有しうる。半導体層が、シリコンおよび/またはゲルマニウムからなっていることができる。半導体層は歪みを有していることができる。ウェハは、絶縁体層を含むことができる。この絶縁体層は、二酸化シリコンからなっていてもよい。
半導体傾斜緩衝層の粗さは、異なる性質をそれぞれ有する2つの要素に分けることができる。第1の要素はクロスハッチ(cross-hatch)であり、これは、ミスフィット転位の形成によって作られる歪み場から生じるものである。クロスハッチは、いくつかの特徴的な波長を有する垂直方向の波のネットワークの形態を有している。ウェハ上に形成された多くの傾斜緩衝層、例えば、ダイヤモンド六方晶形系結晶または閃亜鉛鉱結晶の構造を有しているIVまたはIII−V族の半導体から構成されている層においては、このクロスハッチは、一般には、ウェハの<110>面内の方向に配向している。比較的広い間隔をおいて形成されている表面組織のこの要素は、SEMI規格において「うねり」として挙げられる表面の特徴に相当しうる。第2の要素は、成長条件により異なる程度を示すものであって、明確な方向性をもたず、クロスハッチより小さな振幅およびより短い空間波長を有する小さなスケールの粗さである。この微細粗さ(微細なスケールの粗さ)は、レーザー欠陥走査装置によって半導体上で計測されるヘイズの主たる原因となりうる。これらのクロスハッチおよび微細粗さの両方を減少させるまたは除去する方法を以下に説明する。
図1を参照すると、エピタキシャルウェハ8が、基板12上に設けられた複数の層10を有している。基板12は、半導体、例えばSi、GeまたはSiGeから形成することができる。基板12は、絶縁体層(図示せず)を含むこともできる。基板12の最上表面13上に形成された複数の層10は、傾斜緩衝層14を含み、この傾斜緩衝層14は、緩和されていて、Sil−yGeからなっていることもでき、この場合、Geの最大含有量が、例えば10〜100%(つまり、y=0.1〜1.0)となっており、厚みTは、例えば0.5μmに等しいかまたは0.5μmより大きい、例えば0.5〜10μmである。傾斜緩和層14上には半導体層16が設けられている。半導体層16は、緩和されており、少なくとも2種の元素を含むことができる。基板は第1の格子定数を有していて、半導体層16は第2の格子定数を有していることができ、この場合、第1の格子定数が第2の格子定数とは異なるようになっている。第1の元素は第1の濃度を有していて、第2の元素は第2の濃度を有していることができ、これらの第1および第2の濃度は5%より大きい。これらの2種の元素は、例えばシリコンおよびゲルマニウム(例えばSil−xGe)である。このSil−xGeは、例えば10〜100%(つまり、x=0.1〜1.0)のGe含有量を有しており、例えば0.2〜2μmの厚みTを有している。いくつかの態様では、Sil−xGeは、Si0.80Ge0.20からなっており、Tは、約1.5μmである。半導体層16は、三軸X線回折による測定によると、90%より大きい割合で緩和されており、エッチピット密度(EPD)分析および平面透過電子顕微鏡(PVTEM)分析による測定によると、1×10cm−2未満の貫通転位密度を有している。
傾斜層14および半導体層16は、エピタキシー法、例えば大気圧化学蒸着(APCVD)、低圧(もしくは減圧)CVD(LPCVD)、超高真空CVD(UHVCVD)または分子線エピタキシー法(MBE)によって形成することができる。エピタキシャル堆積のシステムは、シングルウェハまたはマルチウェハのバッチ反応装置である。成長システムは、水平方向のフロー反応装置を含み、このフロー反応装置内では、プロセスガスを反応装置内に一方の側から導入し、1つ以上の基板上を通過させた後、ガスは反応装置のもう一方の側から出ていく。この成長システムでは、層の成長速度を増大させるために、低エネルギープラズマを使用することもある。堆積温度は、773〜1473K(500〜1200℃)とすることができる。
基板12、傾斜層14および半導体層16は、II族、III族、IV族、V族およびVI族元素の様々な組合せを含む様々な材料系から形成することができる。例えば、基板12、傾斜層14および半導体層16のそれぞれは、III−V化合物からなっている。基板12は、ガリウムヒ素(GaAs)からなり、傾斜層14および半導体層16は、インジウムガリウムヒ素(InGaAs)またはアルミニウムガリウムヒ素(AlGaAs)からなる。これらの物質の例は、単に例示的なものであって、適切な別の多くの材料系が存在する。
別の態様では、半導体層16は引張り歪みを有している(例えば、Sil−yGe上に設けられたSil−xGe、この場合y<xである)。さらに別の態様では、半導体層16は、圧縮歪みを有している(例えば、Sil−yGe上に設けられたSil−xGe、この場合y>xである)。この場合には、半導体層16は、緩和された半導体層上に設けられている。いくつかの態様では、歪み層(図示せず)を、半導体層16または傾斜層14の最上表面上に形成することができる。
図1と共に図2を参照すると、半導体層16を形成する元素の分布は、初期の組成変動20を有している。例えば、半導体層16がGeを20%含む(Si0.80Ge0.20)場合、層16内で実際のGe濃度は計4%、例えば18〜22%変化している。初期の組成変動20は、半導体層16において、この半導体層16の堆積方向22に平行な方向で変化させることができる。
組成変動20は、周期Pを有する超格子24を規定する。超格子24は、同じ層内、例えば半導体層16内で、元素、つまりGeの低濃度部28および高濃度部29が交互に現れる領域を有している。このように異なる濃度の層が交互に現れている構造は、水平方向フロー堆積反応装置内で形成されるが、この反応装置内では、基板の先端部、つまりウェハ8の端部において、元素がより高い割合で組み込まれる。基板10を堆積プロセス中に回転させることがあり、これによりガス流に最初に晒される先端部が入れ替わるので、半導体層16内での元素の割合、例えばGe濃度は、垂直方向に交互に変化していることもある。堆積パラメータに依存して、1つの層内で異なる組成が交互に現れる構造は、別の類の堆積システムで形成された層においても生じうる。超格子24は、超格子の周期Pを有している。周期Pは約100nmより小さく、50nmより小さいかまたは10nmより小さい。一態様では、周期Pは8nmであり、例えば、領域29は4nmの厚みを有していて、かつ例えば20%より大きなGe濃度を有しており、領域28が、4nmの厚みを有していて、かつ例えば20%より小さなGe濃度を有している。
図3を参照すると、最上表面15を有している傾斜緩衝層14上には半導体層16が形成されており、この場合、最上表面15は、完全には滑らかでない、つまり、ミスフィット転位の形成から生じた歪み場によって形成されたクロスハッチを有している。クロスハッチは、例えば、隆起部では比較的高いGe濃度を有しており、溝部では比較的低いGe濃度を有している。クロスハッチは、1〜10μmの波長を有しており、振幅は1〜100nmである。傾斜緩衝層表面は、微細粗さも有しており、その波長は、例えば10〜100nmであり、その高さは0.1〜5nm(1〜50Å)である。クロスハッチおよび微細粗さのいずれも、半導体層16の最上表面32にまで持ち越され、起伏30を形成する。起伏30は、起伏の振幅Aを有しており、このAは、超格子24の周期Pよりも大きくなっている。
図4を参照すると、半導体層表面32は、例えばCMPにより平坦化されている。平坦化によって、その平坦化された半導体層表面32上で、側方の組成変動が露出する。しかし、半導体層16に存在する元素の周期P、つまり超格子24により、後続のプロセスにおいて問題が生じうる。例えば、半導体層16の平坦性を維持することは、難しい課題である。平坦化後の洗浄ステップにより、表面32が再び粗くなることもある。湿式洗浄溶液の除去速度は組成に依存しているので、洗浄すべき層において側方の組成変動があって除去速度が組成に依存する場合には、最上表面は粗くなることがある。例えば、このような溶液は、例えばGeをより高い濃度で含有している領域29のように特定の元素をより高い濃度で含有している層16の部分を、例えばGeをより低い濃度で含有している領域28のように前記特定の元素をより低い濃度で含有している層16の部分よりも速い速度で、選択的にエッチングしうる。このようなウェットエッチングの例は、RCA SC1洗浄液、つまり水酸化アンモニウム、過酸化水素および脱イオン水を、例えば1:1:10または1:1:100の割合で313〜353K(40〜80℃)で約10分間、超音波撹拌するかまたはしないで使用するものである。
図5を参照すると、別の態様で、初期の組成変動20が、半導体層16内で、その堆積方向22に対して垂直な方向で変化している。初期の組成変動20は、半導体層16内でカラム50を規定する。カラム50は、不規則な横断面を有しうる。カラム50は、傾斜層14の表面15上に形成されたクロスハッチと超格子24(図2〜4参照)との間の相互作用によって形成されたものである。この相互作用により、半導体層16の形成中に分解が起こり、これにより、元素、例えばGeの含有量が比較的高い複数のカラム50と、同じ元素の含有量が比較的低い複数のカラム52とが交互に現れる。カラム50およびカラム52はそれぞれ、約1000未満の幅Wを有しており、この場合、カラム50およびカラム52(図5の色の濃い領域および色の薄い領域)を含むカラム周期Pは、約2000nm未満となっている。いくつかの態様では、カラム周期Pは、1000nm未満である。半導体層表面32は、例えばCMPにより平坦化することができる。しかし、半導体層16内で変動する組成を有するカラム50、52があることにより、後続のプロセスにおいて問題が生じうる。例えば、半導体層16の平坦性を維持することが、難しい課題となりうる。平坦化後の洗浄ステップにより、表面32が再び粗くなることもある。洗浄溶液、例えばRCA SC1は、例えばGeの濃度がより高いカラム50のように特定の元素の濃度がより高い層16の部分を、例えばGeの濃度がより低いカラム52のような同じ元素の濃度がより低い層16の部分よりも迅速に選択的にエッチングしうる。
図6を参照すると、半導体層16内での初期の組成変動が、半導体層16をアニールすることによって減少されている。このように初期の組成変動が減じられることにより、超格子24ならびにカラム50、52は実質的に除かれ、これにより、半導体層16内での比較的均一な組成分布が得られる。半導体層16のこの比較的均一な組成により、前述の洗浄ステップの影響が減少する、つまり半導体層16の組成が変動している領域でのエッチング速度が不均一となること、ひいてはこれによって半導体層表面32が粗面化することが抑制される。アニールによって、クロスハッチの振幅および波長が増大しうるが、短波長の粗さは減少する。クロスハッチは十分に長い波長を有していて、この場合、この長波長粗さ(1μmより大きな粗さ)においてわずかな増大があっても半導体層表面32の光学的走査測定に影響を与えない。
図3および6を参照すると、アニール温度は、半導体層16内に含まれる少なくとも2種の元素のうち少なくとも1つが、超格子24の周期Pの4分の1に少なくとも等しい拡散距離にわたり費用効果的な時間内で拡散するのに十分な温度となっている。例えば100nmの拡散距離にわたりGeが拡散するためには、アニール温度は、300,000秒間(83.3時間)で1123K(850℃)以上である。この温度および時間は、以下の式より誘導することができる。拡散距離xは、以下の式
x=2×(Dt)0.5 (式1)
[式中、xは特性拡散距離であり、Dは少なくとも2種の元素のうち一方の中でのもう一方の元素の特性拡散係数であり、tは拡散時間である]により計算することができる。
拡散係数は、以下の式
D=Dexp(−E/kT) (式2)
[式中、Dは指数前因子であり、Eは活性化エネルギーであり、kはボルツマン定数であり、Tはアニール温度(ケルビン単位)]により得られる。
例えば、シリコン中のゲルマニウムの拡散に関して、既刊の刊行物より次の値、つまりD=6.26×10cm/sec、E=5.28eVおよびk=8.63×10−5eV/Kが得られる。これらの値を用いて、特性拡散距離を、所定のアニール時間の範囲内で計算し、温度に対してプロットすることができる(例えば図7参照)。得られたシリコン中のゲルマニウムに対する拡散定数の値の相違により、何らかの異なる結果が生じている(以下の説明を参照)。いくつかの態様では、半導体層16に含まれている少なくとも2種の元素のうち少なくとも1つが、少なくとも超格子24の周期Pの4分の1に等しい拡散距離を通って、かつ許容される温度で、例えば基板の損傷または半導体層16の融解が起こることなく適切なスループットを得るに足る高い温度で満足に拡散するように、アニールの時間を選択する。この温度は、約1073K(800℃)より高く、約1543K(1270℃)未満である。例えば、100nm以上の拡散距離を通ってGeが拡散するためには、アニールの時間は、温度1523K(1250℃)で、12秒以上である。この時間は、式1および2ならびに/または図7より誘導することができる。図5および6を参照すると、アニール温度は、半導体層16に含まれる1種以上の元素が(経済的に許容できる時間で)カラム周期Pの4分の1に少なくとも等しい拡散距離にわたり拡散するのに十分な温度である。例えば、少なくとも1000nmの拡散距離にわたりGeが拡散するためには、アニール温度は、300000秒間(83.3時間)では1323K(1050℃)以上となりうる。適切なアニール温度は、前記の式1および2、または図7から誘導することができる。いくつかの態様では、半導体層16に含まれる少なくとも2種の元素の少なくとも1つが、カラム周期Pの4分の1に少なくとも等しい拡散距離にわたり拡散するのに十分であるように、アニールの時間を選択することができる。例えば、1000nm以上の拡散距離を通ってGeを拡散させるためには、アニールの時間は、温度1523K(1250℃)では、1200秒(20分)以上である。この時間は、式1および2ならびに/または図7から誘導することができる。
図3、5および6を参照すると、いくつかの態様では、半導体層16は、半導体層16の堆積温度よりも高いアニール温度でアニールされる。例えば、アニール温度は、約1073K(800℃)より高い、もしくは約1273K(1000℃)より高い。また、このアニール温度は、半導体層16の融点より低くなっている。例えば、Si0.8Ge0.2を含む半導体導体層16においては、アニール温度は約1543K(1270℃)よりも低い。半導体層16内の転位密度は、アニールステップ中は実質的には変化せずに維持されうる。
図6を参照すると、アニールステップ後、半導体層16は、比較的均一な組成分布を有している。半導体層16の最上表面32を、平坦化することができる。この平坦化は、アニールステップの前もしくは後、またはアニールステップ中に行うことができる。平坦化は、CMP、プラズマ平坦化、湿式化学エッチング、(好ましくは高温、例えば1173K(900℃)より高い温度で、エッチング物質、例えばHClを含む雰囲気中での)ガス相化学エッチング、酸化に続くストリッピングおよびクラスターイオン線平坦化を含むいくつかの方法の1つによって行うことができる。いくつかの態様では、CMPが、第1の(ストック研磨、粗研磨)ステップおよび第2の(仕上げ研磨)ステップを含む。粗研磨により、材料の除去すべき全体のより大きな部分(〜0.5μm)が除去され、半研磨された表面が得られる。最終研磨ステップにより、除去すべき材料の全体のより小さな部分(0.1μm未満)が除去され、滑らかな研磨表面が形成される。第1のCMPの前または後に、半導体層16をアニールすることができる。アニールステップは、層の均一化に関して、より大きな利点を提供しうるが、平坦化プロセスの2つのステップ間、例えば粗研磨ステップと仕上げ研磨ステップとの間で行う場合には、おそらくより高いコストがかかるであろう。アニールステップ前に粗研磨ステップによりクロスハッチを除去することによって、アニール中に貫通転位がより自由にウェハ端部へと移動することが可能となる。アニール後に最終研磨を行うことは、再成長プロセスのための滑らかな表面を得るために好ましいであろう(例えば、図7参照)。アニールは、マルチウェハでのバッチプロセスとして一度に、例えば管状炉内で行うことができ、これにより、スループットおよび経済性が向上する。
図7と共に図8を参照すると、平坦化後、半導体層16の最上表面32をウェハ40に接合することができる。続いて、基板12の少なくとも一部を、例えば湿式エッチングステップまたは層間剥離プロセスによって除去することができる。基板12の少なくとも一部を除去した後も、半導体層16の少なくとも一部はウェハ40と接合したままとなる。一態様では、基板12全体を除去することもでき、半導体層16は、実質的にヘイズのない第2の最上表面42を有しうる。基板12の除去後に、第2の最上表面42を平坦化する(つまり滑らかにする)こともできる。平坦化は、化学機械研磨、プラズマ平坦化、湿式化学エッチング、(好ましくは高温、例えば1173K(900℃)より高い温度で、1つのエッチング物質、例えばHClを含む雰囲気中での)ガス相化学エッチング、酸化に続くストリッピングおよび/またはクラスターイオン線平坦化を含むことができる。ウェハ40は、半導体、例えばSi、GeまたはSiGeからなる第2の基板42を含む。第2の基板42は、絶縁体材料、例えばサファイア(Al)またはガラスからなっていてもよい。ウェハ40は、基板42上に設けられかつ例えば二酸化シリコンからなっている絶縁体層44を含むことができる。このプロセスは、例えばセミコンダクタオンインシュレータ(SOI)基板またはSSOI基板を提供するために使用することができる。
図7および9を参照すると、半導体層16の最上表面32の平坦化後、第2の層50が半導体層16上に形成されている。第2の層50は、例えばII族、III族、IV族、V族およびVI族元素の少なくとも1つを含む半導体材料からなっていることができ、例えばCVDにより形成することができる。第2の層50は、半導体層16の格子定数に実質的に等しい格子定数を有している。第2の層50は、半導体層16と同じ材料から形成された再成長させた層であってもよい。別の態様では、第2の層50の格子定数は、半導体層16の格子定数と実質的に異なっていてもよい。第2の層50の格子定数は、半導体層16の格子定数よりも小さくなっていてもよく、この場合には、第2の層50は引張り歪みを有している。例えば、半導体層16はSi1−xGeからなっていて、第2の層50はSi1−zGeからなっており、z<xとなっている。別の態様では、第2の層50の格子定数は、半導体層16の格子定数より大きくなっていてもよく、この場合、第2の層50は圧縮歪みを有している。例えば、半導体層16はSi1−xGeからなっていて、第2の層50はSi1−zGeからなっており、z>xとなっている。第2の層50の最上表面は、ウェハ40と接合させることができる。続いて、基板12の少なくとも一部を、例えば湿式エッチングステップまたは層間剥離プロセスによって除去することもできる。基板12の少なくとも一部を除去した後も、第2の層50の少なくとも一部はウェハ40に接合したままである。このプロセスは、例えばSOI基板またはSSOI基板を得るために用いることができる。
図6を再び参照すると、アニールおよび平坦化の後、半導体層16の最上表面32は、実質的にヘイズを有していない。ヘイズは、表面の背景散乱によって生じ、表面の粗さに正比例する。表面粗さは、いくつかの異なる空間波長に関する特徴を有しうる。クロスハッチの特徴は、典型的には、その波長が数μm(例えば1μm〜10μm)であることであり、一方で微細粗は、より短波長のスケール(1μm未満)を示すことである。表面粗さは、原子間力顕微鏡(AFM)によって、例えばVeeco Instruments(Woodbury、New York)のDimension3100のような装置を用いて測定することができる。ヘイズは、光散乱装置、例えばKLA-Tencor(San Jose、California)により製造されているSURFSCAN Toolの様々な型またはADE Corporation(Westwood、Massachusetts)により製造されているFilm Inspection Tool (FIT)/Advanced Wafer Inspection System (AWIS)によって測定することができる。このような、半導体ウェハのためのレーザに基づく粒子または欠陥検出システムにおいて、表面粗さによって光散乱が起こり、これが「ヘイズ」と呼ばれる。このシステムの光学的な構造、つまりレーザーの波長、入射角度、ならびに集光検出装置の極角および方位角度により、システムが感知することのできる粗さの空間波長が決定される。例えば、SURFSCAN 6220、SURFSCAN SP1-TBI通常入射暗視野ナローチャネル(DNN)、ならびにADE FIT/AWISフロントチャネルは、主に、クロスハッチの特徴に相当する1〜10ミクロンの波長を有する表面粗さを感知する。これに対して、SURFSCAN SP1-TBI暗視野ワイドチャネル(DWN)、ADE FIT/AWISバックおよびセンターチャネル、ならびにSURFSCAN SP1斜入射暗視野ナローチャネル(DNO)は、主に、微細粗さに相当する1μmより小さい空間波長の表面の特徴を感知する。より低いヘイズ値が、一般に、好ましくより滑らかな(粗さの小さな)表面であることを示す。より高品質の表面に対してSURFSCAN 6220によって測定されるヘイズ値は、好ましくは、20百万分率(ppm)より小さく、より好ましくは5ppmより小さく、さらにより好ましくは1ppmより小さい。ADE FIT/AWISバック/センターチャンネルまたはSURFSCAN SP1-TBI DNOチャネルにより測定されるヘイズ値は、好ましくは0.2ppmより小さく、より好ましくは0.05ppmより小さい。
半導体層16のアニールによって、組成変動を均一化することができる。この均一な組成によって、粗さが再導入されることなく、最上表面32の平坦化および洗浄を行うことができる。したがって、半導体層16の最上表面32は、滑らかかつ清浄となる。例えば、最上表面32の粗さの二条平均平方根(RMS)は0.5nm(5Å)未満であり(40μm×40μmの走査領域)、0.1nm(1Å)未満であり(1μm×1μmの走査領域)、0.12μmより大きな直径の粒子に関して、その汚染レベルは1平方センチメートル(cm)あたり0.29粒子より低い。この汚染レベルは、200ミリメートル(mm)のウェハ上での0.12μmより大きな局所的光散乱欠陥(LLS欠陥)の値が90未満であるレベルに等しい。最上表面32の粗さは、1μm×1μm走査領域で0.1nm(1Å)RMS未満である。さらに、半導体層16の最上表面32は、以下に示す粗さおよび汚染レベルを有している。
Figure 0004659732
上記の態様では、アニールステップによって超格子の除去が促進し、これにより表面粗さが減少している。しかし、いくつかの態様では、アニールにより、ヘイズの減少が促進し、さらに、初期に均一である層、つまり超格子またはカラム組成変動を有していない層に対してもより滑らかな層表面が得ることができる。
いくつかの態様では、第1の複数のパラメータを含む成長条件を、組成の超格子形成を防ぐように選択することができ、これにより、前述のアニールを行う必要がなくなる。この第1の複数のパラメータは、温度、前駆体、成長速度および圧力を含む。例えば、超格子のないSiGe傾斜緩衝層は、高温で以下の条件下で成長させることができる。
システム:ASM International B. V. (Bilthoven、オランダ)製造のASM EPSILON(登録商標)2000エピタキシャル反応装置
温度:1273〜1373K(1000〜1100℃)
圧力:2666Pa〜101325Pa(大気圧)(20Torr〜760Torr)
水流量:20〜80標準リットル/分(slm)
ジクロロシラン流量:50〜250標準立方センチメートル/分(sccm)
四塩化ゲルマニウム流量:0〜0.5グラム/分
成長速度:380〜980nm/分
好ましい態様では、超格子のない傾斜SiGe緩衝層の成長の条件は以下のようになる。
システム:ASM EPSILON(登録商標)2000エピタキシャル反応装置
温度:1373K(1100℃)
圧力:10666Pa(80Torr)
水流量:40slm
ジクロロシラン流量:250sccm
四塩化ゲルマニウム流量:0〜0.5グラム/分(20%Geまで)
成長速度:850〜980nm/分
平坦化ステップ後に形成された再成長層、例えばSiGe層中に超格子が存在するかしないかも考慮すべきである。このような超格子は、その上に成長させる半導体層、つまり歪みSi層の電気的特性に対して不都合となりうる。いくつかの態様では、超格子構造が形成することなく、再成長を行うことができる。例えばSiGe堆積システム中でのガス相減少プロファイル中の変動を減少させる、(ひいてはこれにより、上流から下流へのSiGe組成の変動を減少させる)因子は、SiGe層において超格子を規定する傾向を減少させるようになっている。これらの因子は、例えば、ジクロロシラン(DCS)またはこれと同等のSi前駆体の低い流速/成長速度、低い温度および高い水流速度である。直径200ミリメートル(mm)未満のウェハ上で、上流と下流との間のGe成分の差が5%、好ましくは2%よりも小さくなる条件によって、超格子のない成長が得られる。直径が200mmより大きなウェハ、例えば300mmより大きなウェハにおいては、超格子のない成長、例えばできれば2%未満の変動を達成するために、さらにGe成分差を小さくする必要がある。この条件の効果は、回転なしでウェハを成長させ、かつウェハ端部近傍のウェハ上の上流と下流との位置(ウェハ端部から10mm未満、好ましくは5mm未満の位置)を測定することによって、測定できる。
半導体層の場合と同様に、再成長層も、実質的にヘイズを生じないように形成することができ、この再成長層が2種の元素を含んでおり、これらの2種の元素は、半導体層での組成の変動を規定するように分布する。再成長層を形成するためには、第2の複数のパラメータを使用することができる。これらのパラメータは、第2の温度を含み、第1の温度が、半導体層が第2の温度よりも高くなるように使用される。一例としては、以下の代表的な条件下で、超格子のないSiGeの再成長層をASM EPSILON 2000エピタキシャル反応装置内で行うことができる。
温度:973〜1123K(700〜850℃)
圧力:2666〜10666Pa(20〜80Torr)
流量:20〜80slm
ジクロロシラン流量:20〜60sccm
ゲルマン(GeH)流量:25%GeHを8〜34sccm
成長速度:20〜200nm/分
好ましい態様では、超格子のないSiGe層の再成長のための条件は以下の通りである。
温度:1023〜1073K(750〜800℃)
圧力:10666Pa(80Torr)
流量:40〜80slm
ジクロロシラン流量:50sccm
ゲルマン流量:25%GeHを17〜34sccm
成長速度:90〜100nm/分
図10を参照すると、別の態様では、半導体層16は、超格子を含む下部分100と、この下部分100上に設けられていてかつ実質的に超格子を有していない上部分110とを有している。下部分100の超格子は、下層のミスフィットアレイの作用のブロックを助け、これにより、後続の再成長または平坦化後のアニールステップ中でのクロスハッチの再生成を抑制することが可能となる。
図11を参照すると、別の態様では、半導体層16上に設けられた第2の層50は、超格子を含む下部分150と、実質的に超格子を含まない上部分110とを有する再成長層である。超格子の形成を促進する条件下で初期の再成長を行うことによって、下層のミスフィットアレイから歪み場をブロックすることができる。このことによって、再成長プロセス中または平坦化後のアニールステップ中のクロスハッチの再生成を防止することができる。最終期の再成長は、上述の超格子を生成させない条件で行い、これにより、最終の歪みSiデバイス層が、超格子を有する領域と近位で接触することはない。
いくつかの態様では、「埋め込まれた」領域、例えば第2の再成長層50の下部分150または半導体層16の下部分100が、超格子を有しており、超格子は、エピタキシャル成長ステップが完了した後に、アニール除去することができる。
例示的な実験の実施例1
以下の2つのSiGe緩和緩衝層の試料を、アニールを行った場合および行わなかった場合について分析した。
1.試料A:アニールしていない試験ウェハ。X線回折(XRD)測定を行った。Ge組成は29.5±0.3%、緩和は95.51%であった。
2.試料B:Ge含有量がアニールによってより均一になったウェハ。このウェハを、堆積後、同じ堆積チャンバ内で1323K(1050℃)で5分間アニールした。
AFM分析を、試料AおよびBに対して異なる走査寸法(1μm×1μm、10μm×10μmおよび50μm×50μm)で行った。表1に示すように、粗さ値[RMSおよびRa(平均粗さ)]を得た。大きな走査寸法、つまり10μm×10μmおよび50μm×50μmでの表面粗さは、平均約20%増大した。所定の寸法の走査により、走査寸法より小さい波長を有する粗さを測定できたが、より大きな波長は測定できない。しかし、特徴的なRMS値は、最も大きな振幅を有する波長、つまり長い波長のみを表す。試料AおよびBの層では、カラムの分解はみられない。クロスハッチ粗さ、つまりうねりは、試料の熱アニールによって増大する。クロスハッチは、カラム分解に応じて生じているわけでなく、むしろ、カラム分解が、結局は、傾斜層中の埋め込まれたミスフィット転位の歪み場の作用により生じる。高温では表面の原子移動度が高くなるので、層が研磨された後でも、アニールによってクロスハッチが再生成しうる。埋め込まれたミスフィット転位が表面下に存在しているために、ミスフィット転位の歪み場の影響下で、表面上の原子が再構成し始め、元のクロスハッチより程度の小さいクロスハッチが得られる。一方、短波長粗さ(1μm未満)を検出する小さな走査寸法での測定では、短波長粗さは約7倍減少する。この著しい短波長粗さの減少によって、試料Bのようにアニールされたウェハ上で観察されるヘイズレベルを減少させる。
いくつかの態様では、アニールによって、短波長粗さおよびこれに関連する層のヘイズレベルを減少させることができるが、長波長粗さ(例えば、クロスハッチ粗さ)が増加する。したがって、平坦化前にアニールを行うと有利である。この場合には、アニールによって、短波長粗さが後続のプロセスステップで再生成する傾向が減少し、平坦化ステップによって、アニール中に再生成されたどのような長波長粗さをも減少させる。長波長粗さが再び生じるのは、表面原子移動度が高くなり、表面下の歪み場に原子が対応することによるためであり、アニールステップ中で長スケール粗さを小さく保持するには別の方法が用いられる。原子の表面移動度を減少させるために、層を保護層で覆うことができる。この保護層は、保護すべき表面と反応しない材料からなっており、下層の表面に対して選択的に簡単に除去することができる。保護層のための適切な材料は、例えば、二酸化シリコン(SiO)または窒化シリコン(Si)である。保護層の存在によって、原子が自由表面の最上部に存在しなくなることにより、アニールされる層中での原子の移動度が減少する。したがって、アニール後に付加的な平坦化を行うことを所望しない場合には、長波長表面粗さの再生成を防止するために保護層を使用することができる。
Figure 0004659732
実験の実施例2
第2の実験では、1123K(850℃)より高い温度で成長させたSiGe傾斜緩衝層に、1323K(1050℃)で5分間、大気圧の水素中でアニールを施した。アニールの前および後に、異なる走査寸法(1×1μm、10×10μmおよび50×50μm)でAFMによって、ウェハの中心、半径中央部および端部で表面粗さを測定した。さらに、レーザー欠陥スキャナ(KLA-Tencorより販売されているSURFSCAN 6220)を用いて測定したヘイズを、同等の緩衝層であるが、アニールされたものとされていないものとで比較した。表2を参照すると、1μm×1μmで得られた短空間波長の表面粗さは、アニール後、平均して約50%減少している。ウェハの端部におけるAFM像(50μm×50μm、10μm×10μmおよび1μm×1μm)も、アニールの前後で比較した。クロスハッチ粗さの周期の数は、アニール後に減少している。
Figure 0004659732
レーザー粒子スキャナ、ヘイズについて
表面粗さは、レーザー粒子走査、例えばTencor SURFSCAN 6220によって測定されるレーザー緩衝層の特徴に著しく大きな影響を与える。より度合いの大きい粗さは、高いヘイズレベルとして観察されるので、小さな粒子の検出が困難となる。そのため、プロセスの効果を示す重要な測定の1つは、ウェハ上のヘイズレベルの測定である。
ヘイズレベルの測定は、同等の緩衝層を有するウェハのアニールの前および後に行う。アニールされていないウェハおよびアニールされているウェハのヘイズレベルを、「ノッチダウン(減少させた)」(0度回転)配向で、検出器具に配置させて比較する。ヘイズは、入射光中でのエネルギーに対する、表面で散乱された光エネルギーの成分として測定する。ヘイズレベルは、アニールによって50%以上減少し、AFMのデータで示された小スケールの粗さの減少が確認できる。
ウェハの表面粗さに対するアニールプロセスの効果ならびにその結果得られるヘイズ測定の別の側面は、配向角度の変化によるアニールされた基板のヘイズが減少する程度がより大きくなることである。微細粗さは、クロスハッチよりもランダムな配向を有しているので、微細粗さの散乱特性は、入射光に対するウェハの配向に依存しない。これに対して、クロスハッチは、ウェハの配向角度に依存する異なる角度で入射光を散乱させる。
基板をアニールすることによって、配向角度のヘイズへの影響が増大する。アニールの前に、検査システム中でウェハの配向角度を変化させることによって、測定されるヘイズは約10%しか減少しない、例えばヘイズ測定値の平均が716から657ppmに減少するだけである。しかし、アニール後には、ランダムな微細粗さが減少し、この場合のヘイズは、配向角度を0度から45度に変化させた時に50%減少する。
垂直方向の超格子構造の減少
垂直方向の超格子、つまりSiGeの組成の垂直方向の変動が、SiGe緩衝層内で観察されている。
緩衝層14のX線回折(XRD)走査によって、アニール前および後での緩衝層中で超格子が存在するかしないかを調べた。SiGe緩衝層14のXRDロッキングカーブを、アニールを行わない場合および1323(1050℃)5分間アニールを行った場合で得た。通常の傾斜緩衝の周りのサテライトピーク(−3500〜−3000arcsecおよび+700〜+1000arcsecにおけるピーク)の形跡により、アニールされていない緩衝層14中の超格子構造の存在が示された。ピークは、ウェハ端部で観察され、これはおそらく、水平方向フロー反応装置中でのウェハの回転により、ウェハ端部がリーディング端部およびトレーリング端部として順次交替するためである。アニールされていないSiGe傾斜緩衝層14中では、サテライトピークは、ウェハの中心にも端部にも現れなかった。
本発明は、その思想および本質的な特徴から逸脱しなければ、別の特定の形態で実施することができる。したがって、上に述べた実施態様は、あらゆる視点から例示的なものと考えるべきであって、本発明が、実施態様に記載されたものに限定されることはない。よって、本発明の範囲は、上記の説明に限られるのではなく、特許請求の範囲に記載されたものを指し、この特許請求の範囲およびこれと同等のことを意味するものの範囲内での全ての変更が包含されることが意図されている。
半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 温度および時間によるSi中のGeの拡散を示すグラフである。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。 半導体基板の概略的な横断面図であって、超格子、カラム構造および均一で滑らかな半導体層を形成するプロセスを図示している。

Claims (43)

  1. 半導体層を形成する方法であって、
    基板を準備し、
    前記基板の最上表面上に半導体層を形成し、該半導体層が少なくとも2種の元素を含んでおり、該元素が、当該半導体層内での初期の組成変動を規定するように分布し、
    前記初期の組成変動を減少させるために前記半導体層をアニールし、
    前記半導体層の最上表面を平坦化し、
    前記半導体層の最上表面を平坦化することに続いて、前記半導体層の最上表面をウェハに接合し、
    前記基板の少なくとも一部を除去することを含む方法。
  2. 半導体層を形成する方法であって、
    基板を準備し、
    前記基板の最上表面上に半導体層を形成し、該半導体層が少なくとも2種の元素を含んでおり、該元素が、当該半導体層内での初期の組成変動を規定するように分布し、
    前記初期の組成変動を減少させるために前記半導体層をアニールし、
    前記半導体層の最上表面を平坦化し、
    前記半導体層の最上表面を平坦化することに続いて、前記半導体層上に第2の層を形成し、
    前記第2の層の最上表面をウェハに接合し、
    前記基板の少なくとも一部を除去することを含む方法。
  3. 前記基板が第1の格子定数を有しており、前記半導体層が第2の格子定数を有しており、第1の格子定数が第2の格子定数とは異なる、請求項1または2に記載の方法。
  4. 第1の元素が第1の濃度を有しており、第2の元素が第2の濃度を有しており、該第1および第2の濃度のそれぞれが、少なくとも5%である、請求項1または2に記載の方法。
  5. 前記初期の組成変動が、前記半導体層内で、半導体層の堆積方向に対して垂直な方向に周期的に変化している、請求項1または2に記載の方法。
  6. 前記組成変動が、半導体層内のカラムを規定しており、該カラムが幅および周期を有している、請求項5に記載の方法。
  7. 前記カラムの周期が、000ナノメートル未満である、請求項6に記載の方法。
  8. 前記カラムの周期が、000ナノメートル未満である、請求項7に記載の方法。
  9. 前記半導体層を、前記2種の元素の少なくとも1つが、少なくともカラム周期の4分の1に等しい拡散距離にわたり拡散するのに十分なアニール温度でアニールする、請求項6に記載の方法。
  10. 前記半導体層を、前記2種の元素の少なくとも1つが、カラム周期の4分の1に等しい拡散距離にわたり拡散するのに十分な時間でアニールする、請求項6に記載の方法。
  11. 前記初期の組成変動が、半導体層の堆積方向に対して平行な方向で変化しており、周期性をもつ超格子を規定する、請求項1または2に記載の方法。
  12. 前記超格子の周期が、00ナノメートル未満である、請求項11に記載の方法。
  13. 前記超格子の周期が、0ナノメートル未満である、請求項12に記載の方法。
  14. 前記超格子の周期が、0ナノメートル未満である、請求項13に記載の方法。
  15. 前記半導体層を、前記2種の元素の少なくとも1つが、前記超格子の4分の1に少なくとも等しい拡散距離にわたり拡散するのに十分なアニール温度でアニールする、請求項11に記載の方法。
  16. 前記半導体層を、前記2種の元素の少なくとも1つが、前記超格子の4分の1に少なくとも等しい拡散距離にわたり拡散するのに十分な時間でアニールする、請求項11に記載の方法。
  17. 前記半導体層を、堆積温度より高いアニール温度でアニールする、請求項1または2に記載の方法。
  18. 前記アニール温度が073K(800℃)より高い、請求項17に記載の方法。
  19. 前記アニール温度が273K(1000℃)より高い、請求項18に記載の方法。
  20. 前記半導体層を、当該半導体層の融点より低いアニール温度でアニールする、請求項1または2に記載の方法。
  21. 前記アニール温度が、543K(1270℃)より低い、請求項20に記載の方法。
  22. 前記少なくとも2種の元素の1つがシリコンからなる、請求項1または2に記載の方法。
  23. 前記少なくとも2種の元素の1つがゲルマニウムからなる、請求項1または2に記載の方法。
  24. 前記半導体層の最上表面を、当該半導体層のアニール前に平坦化する、請求項1または2に記載の方法。
  25. 前記半導体層の最上表面を、当該半導体層のアニール中に平坦化する、請求項1または2に記載の方法。
  26. 前記半導体層の最上表面を、当該半導体層のアニール後に平坦化する、請求項23に記載の方法。
  27. 平坦化が、化学機械研磨、プラズマ平坦化、湿式化学エッチング、ガス相化学エッチング、酸化後のストリッピングおよびクラスターイオンビーム平坦化のうち少なくとも1つを含む、請求項23に記載の方法。
  28. 前記化学機械研磨が、第1および第2のステップを含み、該第1および第2の化学機械研磨ステップ間に前記半導体層をアニールする、請求項27に記載の方法。
  29. 前記化学機械研磨が、第1および第2のステップを含み、当該第1の化学機械研磨ステップの前に前記半導体層をアニールする、請求項27に記載の方法。
  30. 平坦化が高温のステップを含み、当該高温の平坦化ステップ中に前記半導体層をアニールする、請求項27に記載の方法。
  31. 前記基板の一部を除去した後、前記半導体層の少なくとも一部がウェハに接合したままとなる、請求項1または2に記載の方法。
  32. 前記第2の層が、前記半導体層の格子定数に実質的に等しい格子定数を有する材料からなる、請求項2に記載の方法。
  33. 前記第2の層が、前記半導体層の格子定数とは実質的に異なる格子定数を有する材料からなる、請求項2に記載の方法。
  34. 前記第2の層の最上表面にウェハを接合させ、
    前記基板の少なくとも一部を除去することをさらに含み、
    前記基板の一部を除去した後、前記第2の層の少なくとも一部がウェハに接合したままとなる、請求項2に記載の方法。
  35. 前記半導体層が、(i)超格子を有する下部分と、(ii)該下部分の上に設けられた上部分とを含んでおり、該上部分が実質的に超格子を有していない、請求項2に記載の方法。
  36. 前記半導体層が、起伏のある表面を有している、請求項1または2に記載の方法。
  37. 前記起伏のある表面が、前記半導体層の堆積中に形成される、請求項32に記載の方法。
  38. 前記基板が、起伏のある基板表面を有しており、該起伏のある基板表面が、前記半導体層の起伏のある表面の形成を生じさせている、請求項37に記載の方法。
  39. 前記起伏のある表面が振幅を有しており、前記初期の組成変動が、周期を有する超格子を規定していて、該超格子の周期が、当該起伏のある表面の振幅より小さい、請求項36に記載の方法。
  40. 前記基板上に緩和傾斜層を形成することをさらに含み、
    前記緩和傾斜層上に前記半導体層が形成される、請求項1または2に記載の方法。
  41. 前記半導体層をアニールする前に、前記半導体層上に保護層を形成することをさらに含む、請求項1または2に記載の方法。
  42. 前記保護層が、前記半導体層に対して実質的に不活性である材料からなる、請求項41に記載の方法。
  43. 前記保護層が、二酸化シリコン、窒化シリコンおよびこれらの組合せからなる群から選択される、請求項42にに記載の方法。
JP2006503082A 2003-01-27 2004-01-27 半導体層を形成する方法 Expired - Lifetime JP4659732B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US44278403P 2003-01-27 2003-01-27
PCT/US2004/002282 WO2004068556A2 (en) 2003-01-27 2004-01-27 Semiconductor structures with structural homogeneity

Publications (2)

Publication Number Publication Date
JP2006520096A JP2006520096A (ja) 2006-08-31
JP4659732B2 true JP4659732B2 (ja) 2011-03-30

Family

ID=32825257

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006503082A Expired - Lifetime JP4659732B2 (ja) 2003-01-27 2004-01-27 半導体層を形成する方法

Country Status (4)

Country Link
US (2) US7332417B2 (ja)
EP (2) EP2337062A3 (ja)
JP (1) JP4659732B2 (ja)
WO (1) WO2004068556A2 (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4413580B2 (ja) * 2003-11-04 2010-02-10 株式会社東芝 素子形成用基板の製造方法
WO2005090650A1 (ja) * 2004-03-19 2005-09-29 Nippon Mining & Metals Co., Ltd. 化合物半導体基板
DE102004053307B4 (de) * 2004-11-04 2010-01-07 Siltronic Ag Mehrschichtenstruktur umfassend ein Substrat und eine darauf heteroepitaktisch abgeschiedene Schicht aus Silicium und Germanium und ein Verfahren zu deren Herstellung
US7273800B2 (en) * 2004-11-01 2007-09-25 International Business Machines Corporation Hetero-integrated strained silicon n- and p-MOSFETs
GB2420222A (en) * 2004-11-13 2006-05-17 Iqe Silicon Compounds Ltd Enhanced carrier mobility in strained semiconductor layers through smoothing surface treatment
WO2006118440A1 (en) 2005-05-04 2006-11-09 Otb Group B.V. Method for manufacturing an oled, an intermediate product for manufacturing an oled, as well as an oled
JP4427489B2 (ja) * 2005-06-13 2010-03-10 株式会社東芝 半導体装置の製造方法
US20070117350A1 (en) * 2005-08-03 2007-05-24 Memc Electronic Materials, Inc. Strained silicon on insulator (ssoi) with layer transfer from oxidized donor
WO2007024433A2 (en) * 2005-08-26 2007-03-01 Memc Electronic Materials, Inc. Method for the manufacture of a strained silicon-on-insulator structure
DE602006019940D1 (de) * 2006-03-06 2011-03-17 St Microelectronics Crolles 2 Herstellung eines flachen leitenden Kanals aus SiGe
US7514726B2 (en) * 2006-03-21 2009-04-07 The United States Of America As Represented By The Aministrator Of The National Aeronautics And Space Administration Graded index silicon geranium on lattice matched silicon geranium semiconductor alloy
JP4894390B2 (ja) * 2006-07-25 2012-03-14 信越半導体株式会社 半導体基板の製造方法
JP5018066B2 (ja) * 2006-12-19 2012-09-05 信越半導体株式会社 歪Si基板の製造方法
JP5309452B2 (ja) * 2007-02-28 2013-10-09 サンケン電気株式会社 半導体ウエーハ及び半導体素子及び製造方法
US20090004764A1 (en) * 2007-06-29 2009-01-01 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing semiconductor device
US20090045437A1 (en) * 2007-08-15 2009-02-19 Northrop Grumman Space & Mission Systems Corp. Method and apparatus for forming a semi-insulating transition interface
US7906358B2 (en) * 2007-10-18 2011-03-15 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Epitaxial growth of cubic crystalline semiconductor alloys on basal plane of trigonal or hexagonal crystal
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7834456B2 (en) * 2009-01-20 2010-11-16 Raytheon Company Electrical contacts for CMOS devices and III-V devices formed on a silicon substrate
US8853745B2 (en) * 2009-01-20 2014-10-07 Raytheon Company Silicon based opto-electric circuits
US7994550B2 (en) * 2009-05-22 2011-08-09 Raytheon Company Semiconductor structures having both elemental and compound semiconductor devices on a common substrate
JP5434317B2 (ja) * 2009-07-08 2014-03-05 株式会社Sumco エピタキシャルウェーハの製造方法
DE112010004362T5 (de) 2009-07-08 2012-12-13 Sumco Corporation Epitaxialwafer und verfahren zur herstellung desselben
CN104160478B (zh) * 2011-12-28 2017-02-15 英特尔公司 在非天然表面上形成具有减小的表面粗糙度和体缺陷密度的异质层的方法以及由此形成的结构
US9614026B2 (en) 2013-03-13 2017-04-04 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration High mobility transport layer structures for rhombohedral Si/Ge/SiGe devices
JP6221928B2 (ja) * 2014-05-13 2017-11-01 株式会社Sumco 半導体エピタキシャルウェーハの製造方法および固体撮像素子の製造方法
WO2022260941A1 (en) * 2021-06-07 2022-12-15 The Regents Of The University Of California Iii-v, ii-vi in-situ compliant substrate formation
WO2024025616A1 (en) * 2022-07-27 2024-02-01 Applied Materials, Inc. Substrate modification for superlattice critical thickness improvement

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4914488A (en) * 1987-06-11 1990-04-03 Hitachi, Ltd. Compound semiconductor structure and process for making same
US4960728A (en) * 1987-10-05 1990-10-02 Texas Instruments Incorporated Homogenization anneal of II-VI compounds
WO2001073852A1 (fr) * 2000-03-27 2001-10-04 Matsushita Electric Industrial Co., Ltd. Cristal semi-conducteur sigec et son procede de fabrication
WO2002027783A1 (en) * 2000-09-29 2002-04-04 International Business Machines Corporation PREPARATION OF A RELAXED SiGe LAYER ON AN INSULATOR
WO2002033746A1 (en) * 2000-10-19 2002-04-25 International Business Machines Corporation Layer transfer of low defect sige using an etch-back process
JP2002313739A (ja) * 2001-04-17 2002-10-25 Nichia Chem Ind Ltd 窒化物半導体基板、及びその成長方法
JP2002329673A (ja) * 2001-01-31 2002-11-15 Matsushita Electric Ind Co Ltd 半導体結晶膜,その製造方法,半導体装置及びその製造方法

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4010045A (en) 1973-12-13 1977-03-01 Ruehrwein Robert A Process for production of III-V compound crystals
DE3542482A1 (de) 1985-11-30 1987-06-04 Licentia Gmbh Modulationsdotierter feldeffekttransistor
US5298452A (en) 1986-09-12 1994-03-29 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4987462A (en) 1987-01-06 1991-01-22 Texas Instruments Incorporated Power MISFET
JPH0637025B2 (ja) 1987-09-14 1994-05-18 スピードファム株式会社 ウエハの鏡面加工装置
US4900372A (en) 1987-11-13 1990-02-13 Kopin Corporation III-V on Si heterostructure using a thermal strain layer
US5130269A (en) 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
DE3816358A1 (de) 1988-05-13 1989-11-23 Eurosil Electronic Gmbh Nichtfluechtige speicherzelle und verfahren zur herstellung
US5250445A (en) 1988-12-20 1993-10-05 Texas Instruments Incorporated Discretionary gettering of semiconductor circuits
US5241197A (en) 1989-01-25 1993-08-31 Hitachi, Ltd. Transistor provided with strained germanium layer
US4997776A (en) 1989-03-06 1991-03-05 International Business Machines Corp. Complementary bipolar transistor structure and method for manufacture
GB8905511D0 (en) 1989-03-10 1989-04-19 British Telecomm Preparing substrates
US5210052A (en) 1989-05-18 1993-05-11 Fujitsu Limited Method for fabricating a semiconductor substrate
US5013681A (en) 1989-09-29 1991-05-07 The United States Of America As Represented By The Secretary Of The Navy Method of producing a thin silicon-on-insulator layer
US5202284A (en) 1989-12-01 1993-04-13 Hewlett-Packard Company Selective and non-selective deposition of Si1-x Gex on a Si subsrate that is partially masked with SiO2
EP0445475B1 (en) 1990-02-20 1998-08-26 Kabushiki Kaisha Toshiba Heterojunction bipolar transistor
US5164359A (en) 1990-04-20 1992-11-17 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
US5316958A (en) 1990-05-31 1994-05-31 International Business Machines Corporation Method of dopant enhancement in an epitaxial silicon layer by using germanium
US5158907A (en) 1990-08-02 1992-10-27 At&T Bell Laboratories Method for making semiconductor devices with low dislocation defects
US5155571A (en) 1990-08-06 1992-10-13 The Regents Of The University Of California Complementary field effect transistors having strained superlattice structure
JPH04198095A (ja) 1990-11-28 1992-07-17 Fujitsu Ltd 化合物半導体薄膜成長方法
US5218417A (en) * 1990-12-17 1993-06-08 Siemens Corporation System and methods for measuring the haze of a thin film
US5240876A (en) 1991-02-22 1993-08-31 Harris Corporation Method of fabricating SOI wafer with SiGe as an etchback film in a BESOI process
US5091767A (en) 1991-03-18 1992-02-25 At&T Bell Laboratories Article comprising a lattice-mismatched semiconductor heterostructure
JPH05114768A (ja) * 1991-04-12 1993-05-07 Texas Instr Inc <Ti> 超格子の形成方法
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5442205A (en) 1991-04-24 1995-08-15 At&T Corp. Semiconductor heterostructure devices with strained semiconductor layers
CA2062134C (en) 1991-05-31 1997-03-25 Ibm Heteroepitaxial layers with low defect density and arbitrary network parameter
JPH07187892A (ja) 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> シリコン及びその形成方法
EP0529888A1 (en) 1991-08-22 1993-03-03 AT&T Corp. Removal of substrate perimeter material
US5166084A (en) 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5291439A (en) 1991-09-12 1994-03-01 International Business Machines Corporation Semiconductor memory cell and memory array with inversion layer
FR2681472B1 (fr) 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5208182A (en) 1991-11-12 1993-05-04 Kopin Corporation Dislocation density reduction in gallium arsenide on silicon heterostructures
US5207864A (en) 1991-12-30 1993-05-04 Bell Communications Research Low-temperature fusion of dissimilar semiconductors
JP3191972B2 (ja) 1992-01-31 2001-07-23 キヤノン株式会社 半導体基板の作製方法及び半導体基板
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5426069A (en) 1992-04-09 1995-06-20 Dalsa Inc. Method for making silicon-germanium devices using germanium implantation
US5212110A (en) 1992-05-26 1993-05-18 Motorola, Inc. Method for forming isolation regions in a semiconductor device
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP3286921B2 (ja) * 1992-10-09 2002-05-27 富士通株式会社 シリコン基板化合物半導体装置
JPH06140624A (ja) 1992-10-22 1994-05-20 Furukawa Electric Co Ltd:The ショットキー接合素子
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
US5418743A (en) 1992-12-07 1995-05-23 Nippon Steel Corporation Method of writing into non-volatile semiconductor memory
US5426316A (en) 1992-12-21 1995-06-20 International Business Machines Corporation Triple heterojunction bipolar transistor
US5523592A (en) 1993-02-03 1996-06-04 Hitachi, Ltd. Semiconductor optical device, manufacturing method for the same, and opto-electronic integrated circuit using the same
JP3093904B2 (ja) 1993-02-16 2000-10-03 富士通株式会社 化合物半導体結晶の成長方法
US5308444A (en) 1993-05-28 1994-05-03 At&T Bell Laboratories Method of making semiconductor heterostructures of gallium arsenide on germanium
US5346848A (en) 1993-06-01 1994-09-13 Motorola, Inc. Method of bonding silicon and III-V semiconductor materials
US5413679A (en) 1993-06-30 1995-05-09 The United States Of America As Represented By The Secretary Of The Navy Method of producing a silicon membrane using a silicon alloy etch stop layer
US5310451A (en) 1993-08-19 1994-05-10 International Business Machines Corporation Method of forming an ultra-uniform silicon-on-insulator layer
US5792679A (en) 1993-08-30 1998-08-11 Sharp Microelectronics Technology, Inc. Method for forming silicon-germanium/Si/silicon dioxide heterostructure using germanium implant
JPH0794420A (ja) 1993-09-20 1995-04-07 Fujitsu Ltd 化合物半導体結晶基板の製造方法
US5461243A (en) 1993-10-29 1995-10-24 International Business Machines Corporation Substrate for tensilely strained semiconductor
JP2980497B2 (ja) 1993-11-15 1999-11-22 株式会社東芝 誘電体分離型バイポーラトランジスタの製造方法
KR0123434B1 (ko) 1994-02-07 1997-11-26 천성순 실리콘 웨이퍼에서의 부정합전위의 발생을 억제화하기 위한 링패턴 형성방법 및 그 구조
JP2669368B2 (ja) * 1994-03-16 1997-10-27 日本電気株式会社 Si基板上化合物半導体積層構造の製造方法
US5534713A (en) 1994-05-20 1996-07-09 International Business Machines Corporation Complementary metal-oxide semiconductor transistor logic using strained SI/SIGE heterostructure layers
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3116731B2 (ja) 1994-07-25 2000-12-11 株式会社日立製作所 格子不整合系積層結晶構造およびそれを用いた半導体装置
US6218677B1 (en) * 1994-08-15 2001-04-17 Texas Instruments Incorporated III-V nitride resonant tunneling
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
WO1996015550A1 (en) 1994-11-10 1996-05-23 Lawrence Semiconductor Research Laboratory, Inc. Silicon-germanium-carbon compositions and processes thereof
US5539214A (en) 1995-02-06 1996-07-23 Regents Of The University Of California Quantum bridges fabricated by selective etching of superlattice structures
US5777347A (en) 1995-03-07 1998-07-07 Hewlett-Packard Company Vertical CMOS digital multi-valued restoring logic device
US5920088A (en) * 1995-06-16 1999-07-06 Interuniversitair Micro-Electronica Centrum (Imec Vzw) Vertical MISFET devices
US6010937A (en) 1995-09-05 2000-01-04 Spire Corporation Reduction of dislocations in a heteroepitaxial semiconductor structure
JP3403877B2 (ja) 1995-10-25 2003-05-06 三菱電機株式会社 半導体記憶装置とその製造方法
US5943560A (en) 1996-04-19 1999-08-24 National Science Council Method to fabricate the thin film transistor
US6039803A (en) 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
JP3217015B2 (ja) 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタの形成方法
JPH1041400A (ja) 1996-07-26 1998-02-13 Sony Corp 半導体装置およびその製造方法
US6191432B1 (en) * 1996-09-02 2001-02-20 Kabushiki Kaisha Toshiba Semiconductor device and memory device
US5847419A (en) 1996-09-17 1998-12-08 Kabushiki Kaisha Toshiba Si-SiGe semiconductor device and method of fabricating the same
DE59707274D1 (de) 1996-09-27 2002-06-20 Infineon Technologies Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5859864A (en) 1996-10-28 1999-01-12 Picolight Incorporated Extended wavelength lasers having a restricted growth surface and graded lattice mismatch
JP3562611B2 (ja) 1996-11-05 2004-09-08 ソニー株式会社 半導体装置及びその製造方法
US5808344A (en) 1996-12-13 1998-09-15 International Business Machines Corporation Single-transistor logic and CMOS inverters
US5714777A (en) 1997-02-19 1998-02-03 International Business Machines Corporation Si/SiGe vertical junction field effect transistor
EP0867701A1 (en) * 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US5786614A (en) 1997-04-08 1998-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Separated floating gate for EEPROM application
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5906951A (en) 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US6033974A (en) 1997-05-12 2000-03-07 Silicon Genesis Corporation Method for controlled cleaving process
DE19720008A1 (de) 1997-05-13 1998-11-19 Siemens Ag Integrierte CMOS-Schaltungsanordnung und Verfahren zu deren Herstellung
US5877070A (en) 1997-05-31 1999-03-02 Max-Planck Society Method for the transfer of thin layers of monocrystalline material to a desirable substrate
WO1998059365A1 (en) * 1997-06-24 1998-12-30 Massachusetts Institute Of Technology CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
US5998235A (en) * 1997-06-26 1999-12-07 Lockheed Martin Corporation Method of fabrication for mercury-based quaternary alloys of infrared sensitive materials
US6033995A (en) 1997-09-16 2000-03-07 Trw Inc. Inverted layer epitaxial liftoff process
US5966622A (en) 1997-10-08 1999-10-12 Lucent Technologies Inc. Process for bonding crystalline substrates with different crystal lattices
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
US6232138B1 (en) * 1997-12-01 2001-05-15 Massachusetts Institute Of Technology Relaxed InxGa(1-x)as buffers
JP3447939B2 (ja) 1997-12-10 2003-09-16 株式会社東芝 不揮発性半導体メモリ及びデータ読み出し方法
FR2773177B1 (fr) 1997-12-29 2000-03-17 France Telecom Procede d'obtention d'une couche de germanium ou silicium monocristallin sur un substrat de silicium ou germanium monocristallin, respectivement, et produits multicouches obtenus
US6013134A (en) 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
CA2327421A1 (en) * 1998-04-10 1999-10-21 Jeffrey T. Borenstein Silicon-germanium etch stop layer system
JP4258034B2 (ja) * 1998-05-27 2009-04-30 ソニー株式会社 半導体装置及び半導体装置の製造方法
US6372356B1 (en) * 1998-06-04 2002-04-16 Xerox Corporation Compliant substrates for growing lattice mismatched films
US6291326B1 (en) * 1998-06-23 2001-09-18 Silicon Genesis Corporation Pre-semiconductor process implant and post-process film separation
US6335546B1 (en) * 1998-07-31 2002-01-01 Sharp Kabushiki Kaisha Nitride semiconductor structure, method for producing a nitride semiconductor structure, and light emitting device
US6368733B1 (en) * 1998-08-06 2002-04-09 Showa Denko K.K. ELO semiconductor substrate
US6335269B1 (en) * 1998-09-04 2002-01-01 Canon Kabushiki Kaisha Semiconductor substrate and method for producing the same
JP2000349264A (ja) * 1998-12-04 2000-12-15 Canon Inc 半導体ウエハの製造方法、使用方法および利用方法
US6130453A (en) 1999-01-04 2000-10-10 International Business Machines Corporation Flash memory structure with floating gate in vertical trench
US6074919A (en) 1999-01-20 2000-06-13 Advanced Micro Devices, Inc. Method of forming an ultrathin gate dielectric
US6350993B1 (en) * 1999-03-12 2002-02-26 International Business Machines Corporation High speed composite p-channel Si/SiGe heterostructure for field effect devices
US6103559A (en) 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication
US6235560B1 (en) * 1999-08-16 2001-05-22 Agere Systems Guardian Corp. Silicon-germanium transistor and associated methods
US6204529B1 (en) * 1999-08-27 2001-03-20 Hsing Lan Lung 8 bit per cell non-volatile semiconductor memory structure utilizing trench technology and dielectric floating gate
US6235567B1 (en) * 1999-08-31 2001-05-22 International Business Machines Corporation Silicon-germanium bicmos on soi
US6339232B1 (en) * 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
DE19960823B4 (de) * 1999-12-16 2007-04-12 Siltronic Ag Verfahren zur Herstellung einer epitaxierten Halbleiterscheibe und deren Verwendung
US6352909B1 (en) * 2000-01-06 2002-03-05 Silicon Wafer Technologies, Inc. Process for lift-off of a layer from a substrate
US6602613B1 (en) * 2000-01-20 2003-08-05 Amberwave Systems Corporation Heterointegration of materials using deposition and bonding
WO2001054175A1 (en) * 2000-01-20 2001-07-26 Amberwave Systems Corporation Low threading dislocation density relaxed mismatched epilayers without high temperature growth
US6593191B2 (en) * 2000-05-26 2003-07-15 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US7503975B2 (en) * 2000-06-27 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and fabrication method therefor
JP4269541B2 (ja) * 2000-08-01 2009-05-27 株式会社Sumco 半導体基板と電界効果型トランジスタ並びにSiGe層の形成方法及びこれを用いた歪みSi層の形成方法と電界効果型トランジスタの製造方法
EP1309989B1 (en) * 2000-08-16 2007-01-10 Massachusetts Institute Of Technology Process for producing semiconductor article using graded expitaxial growth
US20020100942A1 (en) * 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6724008B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6677192B1 (en) * 2001-03-02 2004-01-13 Amberwave Systems Corporation Method of fabricating a relaxed silicon germanium platform having planarizing for high speed CMOS electronics and high speed analog circuits
US6723661B2 (en) * 2001-03-02 2004-04-20 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6703688B1 (en) * 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
JP2002305293A (ja) * 2001-04-06 2002-10-18 Canon Inc 半導体部材の製造方法及び半導体装置の製造方法
US20020168802A1 (en) * 2001-05-14 2002-11-14 Hsu Sheng Teng SiGe/SOI CMOS and method of making the same
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US6717213B2 (en) * 2001-06-29 2004-04-06 Intel Corporation Creation of high mobility channels in thin-body SOI devices
EP1415331A2 (en) * 2001-08-06 2004-05-06 Massachusetts Institute Of Technology Formation of planar strained layers
US6974735B2 (en) * 2001-08-09 2005-12-13 Amberwave Systems Corporation Dual layer Semiconductor Devices
DE10143741A1 (de) * 2001-09-06 2003-03-27 Wacker Siltronic Halbleitermat Beschichtete Siliciumscheibe und Verfahren zu ihrer Herstellung
KR20040047874A (ko) * 2001-09-29 2004-06-05 크리, 인코포레이티드 반전(反轉)된 화학 증착(cvd)용 장치
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US7494901B2 (en) * 2002-04-05 2009-02-24 Microng Technology, Inc. Methods of forming semiconductor-on-insulator constructions
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6995430B2 (en) * 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US6828632B2 (en) * 2002-07-18 2004-12-07 Micron Technology, Inc. Stable PD-SOI devices and methods
US20040137698A1 (en) * 2002-08-29 2004-07-15 Gianni Taraschi Fabrication system and method for monocrystaline semiconductor on a substrate
US8187377B2 (en) * 2002-10-04 2012-05-29 Silicon Genesis Corporation Non-contact etch annealing of strained layers
US6767802B1 (en) * 2003-09-19 2004-07-27 Sharp Laboratories Of America, Inc. Methods of making relaxed silicon-germanium on insulator via layer transfer

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4914488A (en) * 1987-06-11 1990-04-03 Hitachi, Ltd. Compound semiconductor structure and process for making same
US4960728A (en) * 1987-10-05 1990-10-02 Texas Instruments Incorporated Homogenization anneal of II-VI compounds
WO2001073852A1 (fr) * 2000-03-27 2001-10-04 Matsushita Electric Industrial Co., Ltd. Cristal semi-conducteur sigec et son procede de fabrication
WO2002027783A1 (en) * 2000-09-29 2002-04-04 International Business Machines Corporation PREPARATION OF A RELAXED SiGe LAYER ON AN INSULATOR
WO2002033746A1 (en) * 2000-10-19 2002-04-25 International Business Machines Corporation Layer transfer of low defect sige using an etch-back process
JP2002329673A (ja) * 2001-01-31 2002-11-15 Matsushita Electric Ind Co Ltd 半導体結晶膜,その製造方法,半導体装置及びその製造方法
JP2002313739A (ja) * 2001-04-17 2002-10-25 Nichia Chem Ind Ltd 窒化物半導体基板、及びその成長方法

Also Published As

Publication number Publication date
JP2006520096A (ja) 2006-08-31
EP2337062A3 (en) 2016-05-04
EP2337062A2 (en) 2011-06-22
EP1588406A2 (en) 2005-10-26
WO2004068556A2 (en) 2004-08-12
EP1588406B1 (en) 2019-07-10
WO2004068556A3 (en) 2005-03-03
US20080135830A1 (en) 2008-06-12
US20040214407A1 (en) 2004-10-28
US7332417B2 (en) 2008-02-19

Similar Documents

Publication Publication Date Title
JP4659732B2 (ja) 半導体層を形成する方法
KR101374090B1 (ko) 에피택시 방법들과 그 방법들에 의하여 성장된 템플릿들
JP5639248B2 (ja) 減少した転位パイルアップを有する半導体ヘテロ構造および関連した方法
EP1115920B1 (fr) Procede d&#39;obtention d&#39;une couche de germanium monocristallin sur un substrat de silicium monocristallin, et produits obtenus
US8187377B2 (en) Non-contact etch annealing of strained layers
WO2011024854A1 (ja) SiCエピタキシャルウェハ及びその製造方法
Chen et al. Structure of CdTe (111) B grown by MBE on misoriented Si (001)
WO2001001465A1 (en) Cyclic thermal anneal for dislocation reduction
JPH04318918A (ja) 半導体からなる部品
JP2000513507A (ja) 傾斜GeSi層と平坦化を用いたゲルマニウム・オン・シリコンの貫通転位の制御
Le Thanh New insight into the kinetics of Stranski–Krastanow growth of Ge on Si (0 0 1)
Le Thanh Fabrication of SiGe quantum dots: a new approach based on selective growth on chemically prepared H-passivated Si (100) surfaces
FR2921200A1 (fr) Heterostructures semi-conductrices monolithiques epitaxiees et leur procede de fabrication
Sharma et al. Controlling epitaxial GaAsxP1-x/Si1-yGey heterovalent interfaces
Khandekar et al. The evolution of the microstructure and morphology of metal-organic vapor-phase epitaxy-grown InAs films on (1 0 0) GaAs
Vert et al. Integration of InP and InGaAs on 300 mm Si wafers using chemical mechanical planarization
JP2000260711A (ja) 半導体基板製造方法
Yamamoto et al. Fully coherent Ge islands growth on Si nano-pillars by selective epitaxy
Aubin Low temperature epitaxy of Si, Ge, and Sn based alloys
KR100554204B1 (ko) 낮은 표면 거칠기와 높은 격자 이완 정도를 갖는 반도체박막 형성 방법
Zytkiewicz Epitaxial lateral overgrowth of semiconductors
Kim Limited-area growth of Ge and SiGe on Si
Junesand et al. Optimisation of seed and mask surfaces in epitaxial lateral overgrowth of indium phosphide on silicon for silicon photonics
Rahman et al. Structural characterization of Si0. 75Ge0. 25 alloy layers with Sb/Ge-mediated low temperature-grown Si buffers
Bouchier et al. Some Insights into the Relaxation Mechanisms of Germanium Growing on (001) Si by Ultrahigh Vacuum Chemical Vapor Deposition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070124

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100217

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100224

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20100406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100824

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101118

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101214

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101227

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140107

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4659732

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term