JP4313082B2 - 半導体素子の製造方法 - Google Patents

半導体素子の製造方法 Download PDF

Info

Publication number
JP4313082B2
JP4313082B2 JP2003134323A JP2003134323A JP4313082B2 JP 4313082 B2 JP4313082 B2 JP 4313082B2 JP 2003134323 A JP2003134323 A JP 2003134323A JP 2003134323 A JP2003134323 A JP 2003134323A JP 4313082 B2 JP4313082 B2 JP 4313082B2
Authority
JP
Japan
Prior art keywords
insulating spacer
forming
semiconductor substrate
semiconductor device
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003134323A
Other languages
English (en)
Other versions
JP2004006869A (ja
Inventor
弘 植 鄭
奇 南 金
有 商 黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2004006869A publication Critical patent/JP2004006869A/ja
Application granted granted Critical
Publication of JP4313082B2 publication Critical patent/JP4313082B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は半導体素子の製造方法に係り、特にデザインルールが0.2μm以下の高集積半導体素子を製造するためにゲート電極によって自己整列されるコンタクトプラグを有する半導体素子の製造工程において、接触抵抗(コンタクト抵抗)の増加を防止しつつトランジスタの特性を向上させ、DRAMセルの動作特性を改善するための半導体素子の製造方法に関する。
【0002】
【従来の技術】
DRAMのような高集積半導体素子の製造では、デザインルールが減少するにつれてセル面積も次第に縮まっている。それにより、接触抵抗の増加が生じるとともに、短チャンネル効果が増大してセルトランジスタの降伏電圧が減少し、その結果、信頼性あるセルトランジスタを形成するのに限界がある。
【0003】
一方、DRAMのような半導体素子の高集積化のためにパターン間のアラインメント精度の影響を受けず、高集積半導体素子に必要な微細な素子を形成できる技術として、自己整列方式(セルフアライメント方式)でゲート電極間にコンタクトプラグを形成する技術が開発されている。自己整列方式を適用した半導体素子の製造方法ではLDD(lightly doped drain)構造のソース/ドレーン領域を形成するために、まずゲート電極をパターニングした後、低濃度不純物イオン注入工程を行い、次に、前記ゲート電極の側壁にスペーサを形成した後、高濃度不純物イオン注入工程を行う。また、デザインルールが0.2μm以下である素子を製造する場合にはソース/ドレーン領域を形成するための高濃度不純物イオン注入工程は、主に自己整列コンタクトホールの形成のための層間絶縁膜のパターニング工程後に行われている。この際、高集積半導体素子では、所望のセルトランジスタの動作特性を得るための十分な降伏電圧を確保する必要がある。そのためには、パンチスルー現象を防止するために基板でのドーピング濃度を高め、あるいは十分な有効チャンネル長を確保せねばならない。しかし、ドーピング濃度を高める方法は、接合漏れ電流を増加させる効果を招くので、保持時間(retension time)を短くしてしまうという問題が生じるおそれがある。したがって、かかる問題を解決するためには基板のドーピング濃度を増加させず、ゲート電極の幅またはゲート電極の側壁に形成されるスペーサの幅を広げて有効チャンネル長を延ばさなければならない。しかし、このような方法は高集積半導体素子の製造工程に適用しにくいだけでなく、ゲート電極およびスペーサの幅を増やすのにともなって、コンタクトホールの開口が形成される空間が狭められてしまい、コンタクトプラグとソース/ドレーン領域との接触面積が減少して、接触抵抗が増加し、その結果、セルの不具合(cell fail)が多発するといった問題がある。
【0004】
【発明が解決しようとする課題】
本発明の目的は、前記問題点を解決するためのものであって、微細なデザインルールを有する高集積半導体素子において、ゲート電極によって自己整列されるコンタクトプラグとソース/ドレーン間の接触面積を減少させることなく、有効チャンネル長を延ばすことによって、セルトランジスタの信頼性を確保しうる半導体素子の製造方法を提供することである。
【0005】
【課題を解決するための手段】
前記目的を達成するために本発明の第1態様による半導体素子の製造方法では、半導体基板上に、ゲート電極と、前記ゲート電極の上面を覆う絶縁膜とよりなる複数のゲート構造を形成する。前記ゲート構造をマスクとして前記半導体基板にソース/ドレーンを形成するために第1濃度で不純物イオン注入を行う。そして、前記ゲート構造の側壁に第1絶縁スペーサを形成する。さらに、前記ゲート構造及び第1絶縁スペーサ上にシリコン窒化物からなるライナを形成することによって、第1絶縁スペーサの露出面上に第2絶縁スペーサを形成する。そして、隣接した2つの前記第2絶縁スペーサの間で前記半導体基板を露出する開口を画定する平坦化された層間絶縁膜パターンを前記ゲート構造上に形成する。前記層間絶縁膜パターン及び第2絶縁スペーサをマスクとして前記半導体基板にソース/ドレーンを形成するために第1濃度より高い第2濃度で不純物イオン注入を行う。そして、前記第2絶縁スペーサを完全に除去する。
【0006】
前記第2絶縁スペーサを除去する段階は、オゾン水を用いるウェットエッチング法によって行われうる。このために、前記第2絶縁スペーサを除去する段階では、まずオゾン水とHF溶液との混合液よりなるエッチング液を用いる第1ウェットエッチング段階を行った後、オゾン水のみからなるエッチング液を用いる第2ウェットエッチング段階を行う。望ましくは、前記オゾン水とHF溶液との混合液はオゾン水:HF溶液の体積比が1000:1〜1500:1である。
【0007】
前記第2絶縁スペーサを除去する段階は、O2及びCF4の混合ガスを用いるダウンストリーム型プラズマエッチング法によって行われうる。前記混合ガスはO2:CF4の流量比が10:1〜100:1の範囲で使われる。
【0008】
また、本発明の第1態様による半導体素子の製造方法では、隣接した2つの前記第2絶縁スペーサの間で前記半導体基板を露出する開口を画定する平坦化された層間絶縁膜パターンを前記ゲート構造上に形成する段階の後に、前記層間絶縁膜パターンの側壁と前記第2絶縁スペーサ上にシリコン窒化物よりなる第3絶縁スペーサを形成する段階と、をさらに含みうる。前記高濃度不純物イオン注入は前記層間絶縁膜パターン及び第3絶縁スペーサをマスクとして行われる。前記第2絶縁スペーサ及び前記第3絶縁スペーサは同時に除去される。
【0009】
また、前記目的を達成するために本発明の第2態様による半導体素子の製造方法では、半導体基板上にゲート電極と、前記ゲート電極の上面を覆う絶縁膜よりなる複数のゲート構造を形成する。前記ゲート構造をマスクとして前記半導体基板にソース/ドレーンを形成するために第1濃度で不純物イオン注入を行う。そして、前記ゲート構造の側壁に第1絶縁スペーサを形成する。前記第1濃度で不純物イオン注入された半導体基板を露出する開口を画定する平坦化された層間絶縁膜パターンを前記ゲート構造上に形成する。前記層間絶縁膜パターンの側壁と前記第1絶縁スペーサ上にシリコン窒化物よりなる第2絶縁スペーサを形成する。前記層間絶縁膜パターン及び第2絶縁スペーサをマスクとして前記半導体基板にソース/ドレーンを形成するために第1濃度より高い第2濃度で不純物イオン注入を行う。そして、前記第2絶縁スペーサを完全に除去する。
【0010】
前記第1絶縁スペーサはシリコン窒化膜のみからなりうる。または、前記第1絶縁スペーサは、前記ゲート構造と接するシリコン窒化膜と、前記シリコン窒化膜上に形成されたシリコン酸化膜よりなりうる。
【0011】
本発明の第2態様による半導体素子の製造方法は、前記第1絶縁スペーサを形成した後、前記ゲート構造及び前記第1絶縁スペーサをマスクとして前記半導体基板に第2濃度より低い第3濃度で不純物イオンを追加注入する段階をさらに含みうる。
【0012】
また、本発明の第2態様による半導体素子の製造方法は、前記第1絶縁スペーサを形成した後、前記ゲート構造及び第1絶縁スペーサ上にシリコン酸化物からなるライナを形成する段階と、前記シリコン酸化物からなるライナをマスクとして前記半導体基板に第2濃度より低い第3濃度で不純物イオンを追加注入する段階をさらに含みうる。
【0013】
前記層間絶縁膜パターンを形成するために、前記第3濃度で不純物イオン追加注入が行われた半導体基板及び前記シリコン酸化物からなるライナ上に平坦化された層間絶縁膜を形成した後、前記層間絶縁膜をパターニングして前記開口を形成する。この際、前記層間絶縁膜のパターニングと同時に前記第1絶縁スペーサを露出すべく前記シリコン酸化物からなるライナの一部を除去する。
【0014】
また、前記目的を達成するために、本発明の第3態様による半導体素子の製造方法では、チャンネル形成予定領域の第1領域とソース/ドレーン形成予定領域の第2領域とを有する半導体基板の第1領域上にゲート電極を形成する。前記ゲート電極をマスクとして前記第2領域に第1濃度で不純物イオン注入を行う。前記ゲート電極の長手方向に直交する第1方向に第1幅にわたって前記第2領域が露出されるべく前記ゲート電極の側壁に第1絶縁スペーサを形成する。前記ゲート電極上に前記第1絶縁スペーサを露出する開口を画定する平坦化された層間絶縁膜パターンを形成する。前記第1方向に前記第1幅より狭い第2幅にわたって前記第2領域が露出されるべく前記層間絶縁膜パターンの側壁と前記第1絶縁スペーサ上にシリコン窒化物よりなる第2絶縁スペーサを形成する。前記層間絶縁膜パターン及び前記第2絶縁スペーサをマスクとして前記第2領域に前記第1濃度より高い第2濃度で不純物イオン注入を行う。そして、前記第1方向に前記第1幅にわたって前記第2領域が露出されるべく前記第2絶縁スペーサを完全に除去する。
【0015】
本発明の第3態様による半導体素子の製造方法は、前記第1方向に前記第1幅より広い第3幅だけ前記第2領域が露出されるべく前記第1絶縁スペーサの一部を除去する段階をさらに含みうる。
【0016】
また、本発明の第3態様による半導体素子の製造方法は、前記第1方向に前記第1幅より狭い第4幅にわたって前記第2領域を露出すべく前記第1絶縁スペーサ上にシリコン窒化物からなるライナを形成する段階をさらに含みうる。この際、前記第2絶縁スペーサは前記シリコン窒化物からなるライナ上に形成される。
【0017】
また、本発明の第3態様による半導体素子の製造方法は、前記第1方向に前記第1幅より狭い第4幅にわたって前記第2領域を露出すべく前記第1絶縁スペーサ上にシリコン酸化物からなるライナを形成する段階と、前記シリコン酸化物からなるライナをマスクとして前記第2領域に第2濃度より低い第3濃度で不純物イオンを追加注入する段階をさらに含みうる。また、前記開口内には、導電性プラグが形成されうる。
【0018】
本発明によれば、ゲート電極によって自己整列されるコンタクトプラグを有する高集積半導体素子を製造するに当って、シリコン窒化物よりなる絶縁スペーサを用いて有効チャンネル長及びコンタクト接触面積を調節するので、半導体素子の接触抵抗を増加させることなく、セルトランジスタの信頼性が確保されてDRAMセルの動作特性を改善しうる。
【0019】
【発明の実施の形態】
次に例示する実施例は多様な他の形に変形でき、本発明の範囲が後述する実施例に限定されることを意味するものではない。本発明の実施例は当業者に本発明をさらに完全に説明するために提供されるものである。添付図面において膜または領域の大きさまたは厚さは明細書の明確性のために誇張されたものである。また、ある膜が他の膜または基板の“上”にあると記載された場合、前記ある膜が前記他の膜の上に直接存在しても、その間に第3の他の膜が介在されても良い。
【0020】
まず、本発明の第1実施例について説明する。図1ないし図8は、本発明の第1実施例に係る半導体素子の製造方法を説明するために工程順序によって示す断面図である。
【0021】
図1を参照すれば、例えばp型半導体基板10にSTI(shallow trench isolation)工程を用いて活性領域を限定するための素子分離領域12を形成する。前記活性領域はチャンネル形成予定領域14及びソース/ドレーン形成予定領域16を含む。その後、例えば熱酸化法によりゲート絶縁膜18を形成する。前記ゲート絶縁膜18上にポリシリコン層22aと、タングステンシリサイドのような金属シリサイド層22bとを順次に形成し、その上に、例えばシリコン窒化膜よりなる絶縁層24を形成した後、これらをリソグラフフィー工程及び異方性エッチング工程を用いてパターニングし、ゲート電極22及びこれを覆っている絶縁層24よりなる複数のゲート構造20を形成する。次いで、エッチング工程による損傷を治すために熱酸化法を用いて前記ゲート構造20の側壁に酸化膜(図示せず)を形成する。前記各ゲート構造20それぞれの間では前記半導体基板10のソース/ドレーン形成予定領域16が露出される。
【0022】
次いで、前記ゲート構造20をマスクとして低濃度不純物イオン30注入工程を行う。ここで、低濃度不純物イオン30注入とは、所定の第1濃度での不純物イオンの注入を意味する。このために、例えば燐イオンを1.0×1013ions/cm2の濃度及び30KeVのエネルギーで注入する。
【0023】
図2を参照すれば、前記ゲート構造20の側壁にシリコン窒化物よりなる第1絶縁スペーサ42を形成する。前記第1絶縁スペーサ42はシリコン窒化物を前記ゲート構造20の全面に蒸着し、エッチバックして形成する。前記第1絶縁スペーサ42によって、前記半導体基板10のソース/ドレーン形成予定領域16が前記ゲート電極22の長手方向に直交する方向に第1幅A1にわたって露出される。
【0024】
図3を参照すれば、前記ゲート構造20及び第1絶縁スペーサ42上にシリコン窒化物よりなるライナ48を形成する。前記ライナ48は約20〜200Å、望ましくは約100Åの厚さに形成する。前記ライナ48を形成することによって前記第1絶縁スペーサ42の露出面を覆う第2絶縁スペーサ48aが形成される。
【0025】
図4を参照すれば、前記ライナ48が形成された結果物上に平坦化された層間絶縁膜50を形成する。前記層間絶縁膜50は、例えば、Boro−phospho−silicate−glass(BPSG)膜、またはHDP(高密度プラズマ:high density plasma)酸化膜からなる。Boro−phospho−silicate−glass(BPSG)膜は、B(ボロン)とP(リン)の酸化物であるB23とP25(P23)を添加したSiO2膜(シリコン酸化膜)である。一方、HDP酸化膜は、高密度プラズマCVD法を用いて形成された酸化膜である。次いで、前記層間絶縁膜50をパターニングするに当ってエッチングマスクとして使用するためのフォトレジスト膜パターン52を前記層間絶縁膜50上に形成する。
【0026】
図5を参照すれば、前記フォトレジスト膜パターン52をエッチングマスクとして用いて、酸化膜と窒化膜とのエッチング選択比差を用いる自己整列方式のエッチング工程によって前記層間絶縁膜50をエッチングして前記ゲート構造20間に形成される開口H1を画定する層間絶縁膜パターン50aを形成する。この際、過度エッチングによって前記ライナ48のうち前記半導体基板10を覆っている部分を除去する。この結果、前記開口H1によって前記第2絶縁スペーサ48a及び前記半導体基板10のソース/ドレーン形成予定領域16が露出される。前記半導体基板10のソース/ドレーンン形成予定領域16は前記開口H1を通じて露出される隣接した2つの前記第2絶縁スペーサ48a間で前記ゲート電極22の長手方向に直交する方向に前記第1幅A1より狭い第2幅A2にわたって露出される。
【0027】
図6を参照すれば、前記層間絶縁膜パターン50a及び前記第2絶縁スペーサ48aをマスクとして、高濃度不純物イオン80注入工程を行う。ここで、高濃度不純物イオン80注入とは、上記の第1濃度より高い第2濃度での不純物イオンの注入を意味する。このために、例えば燐イオンを4.0×1013ions/cm2の濃度及び30KeVのエネルギーで注入する。この際に、前記イオン注入に露出されている前記半導体基板10のソース/ドレーン形成予定領域16が第2幅A2に狭まっているので、前記チャンネル形成予定領域14に形成される有効チャンネル長を前記第2絶縁スペーサ148aの幅W1の2倍だけ増加させることができる。
【0028】
図7を参照すれば、前記開口H1を通じて露出される前記第2絶縁スペーサ48aを完全に除去する。このために等方性エッチング法を用いる。
【0029】
前記第2絶縁スペーサ48aを等方性エッチング法で除去するための1つの例示的な方法として、オゾン水を用いるウェットエッチング法を用いられる。ここで、オゾン水とは、オゾン添加超純水ともよばれ、微量(ppmオーダー)のオゾンを添加した純水である。たとえば、オゾン水とHF溶液とを各々1000:1〜1500:1の体積比で混合した混合液を製造した後、これをエッチング液として使用して約25秒間ウェットエッチングし、再びオゾン水のみからなるエッチング液を使用して約20秒間ウェットエッチングすれば、前記第2絶縁スペーサ48aを効率よく除去しうる。望ましくは、前記オゾン水とHF溶液との混合液でオゾン水:HF溶液の体積比は約1380:1である。このようにウェットエッチング法によって前記第2絶縁スペーサ48aが完全に除去された後、自然酸化膜を除去するためにHFを使用して洗浄工程を行う。
【0030】
前記第2絶縁スペーサ48aを等方性エッチング法で除去するための他の例示的な方法として、O2及びCF4の混合ガスを用いるダウンストリーム型プラズマエッチング法を用いられる。これは通常のダウンストリーム型アッシング装備を用いて行われるが、望ましくはO2:CF4の流量比が約10:1〜100:1の範囲になるように前記混合ガスを供給する。特に望ましくは、約250℃の温度及び約1Torr(約100Pa)の圧力下で約700Wのパワーを供給しつつO2:CF4の流量比が約40:1になるように前記混合ガスを供給してダウンストリーム型プラズマエッチング工程を行う。
【0031】
前述したような等方性エッチング法で前記第2絶縁スペーサ48aを完全に除去することによって、前記開口H1を通じて隣接した2つの第1絶縁スペーサ42間で前記半導体基板10のソース/ドレーン形成予定領域16が前記第2幅A2より広い第3幅A3にわたって露出される。したがって、前記開口H1を通じて前記半導体基板10のソース/ドレーン形成予定領域16が再び広い面積にわたって露出されるので、後続工程で前記開口H1内にコンタクトプラグが形成された時、前記コンタクトプラグと前記半導体基板10との接触面積が増加し、延ばした有効チャンネル長は確保しつつ接触抵抗(コンタクト抵抗)の増加を抑制しうる。
【0032】
前記第2絶縁スペーサ48aの除去に際して、前記第2絶縁スペーサ48aのみを除去することによって、前記開口H1を通じて露出される前記ソース/ドレーン形成予定領域16の第3幅A3を前記第1幅A1と同一にすることができる。または、必要に応じてコンタクト抵抗の特性をさらに改善するために、前記第2絶縁スペーサ48aの除去と同時に前記第1絶縁スペーサ42の一部についても除去して前記ソース/ドレーン形成予定領域16の第3幅A3を前記第1幅A1よりも広げてもよい。前記第3幅A3は工程条件を考慮して必要に応じて調節できる。
【0033】
図8を参照すれば、前記開口H1内に、例えばドーピングされたポリシリコンのような導電物質を充填して、前記ゲート構造20によって自己整列されるコンタクトプラグ60を形成する。
【0034】
次いで、本発明の第2実施例に係る半導体素子の製造方法について図9ないし図11を参照して説明する。
【0035】
まず、図9を参照すれば、図1ないし図5の説明と同一な方法で半導体基板110に素子分離領域112を形成し、活性領域のうちチャンネル形成予定領域114上にゲート絶縁膜118と、ゲート電極122及びこれを覆っている絶縁層124よりなるゲート構造120を形成する。その後、前記ゲート構造120をマスクとして低濃度不純物イオン注入工程を行い、前記ゲート構造120の側壁にシリコン窒化物よりなる第1絶縁スペーサ142を形成する。前記第1絶縁スペーサ142はシリコン窒化物を前記ゲート構造120全面に蒸着してエッチバックして形成する。前記第1絶縁スペーサ142によって前記半導体基板110のソース/ドレーン形成予定領域116が前記ゲート電極122の長手方向に直交する方向に第1幅B1にわたって露出される。次いで、前記ゲート構造120及び第1絶縁スペーサ142上にシリコン窒化物よりなるライナ148を形成する。前記ライナ148を形成することによって、前記第1絶縁スペーサ142の露出面を覆う第2絶縁スペーサ148aが形成される。前記ライナ148が形成された結果物上に平坦化された層間絶縁膜を形成し、シリコン酸化膜とシリコン窒化膜とのエッチング選択比差を用いる自己整列方式のエッチング工程を経て層間絶縁膜パターン150aを形成する。前記層間絶縁膜パターン150aによって前記ゲート構造120の間に形成される開口H2が画定される。この際、図示しないが、前記開口H2の底面には前記半導体基板110を覆っている前記ライナ148を残す。
【0036】
前記層間絶縁膜パターン150aが形成された結果物上にシリコン窒化膜を形成した後、再びエッチバックして前記層間絶縁膜パターン150aの側壁及び前記第2絶縁スペーサ148a上に前記シリコン窒化膜よりなる第3絶縁スペーサ160を形成する。その結果、前記半導体基板110のソース/ドレーン形成予定領域116は前記開口H2を通じて露出される隣接した2つの前記第3絶縁スペーサ160の間で前記ゲート電極122の長手方向に直交する方向に前記第1幅B1より狭い第2幅B2にわたって露出される。望ましくは、前記第2幅B2が前記第1幅B1より約100〜300Åだけさらに狭くなるように前記第2絶縁スペーサ148aの幅及び前記第3絶縁スペーサ160の幅を調節する。
【0037】
図10を参照すれば、前記層間絶縁膜パターン150a及び前記第3絶縁スペーサ160をマスクとして高濃度不純物イオン180の注入工程を行う。このために、例えば燐イオンを4.0×1013ions/cm2の濃度及び30KeVのエネルギーで注入する。この際、前記イオン注入に露出されている前記半導体基板110のソース/ドレーン形成予定領域116が第2幅B2に狭まっているので、前記チャンネル形成予定領域114に形成される有効チャンネル長を前記第2絶縁スペーサ148aの幅と第3絶縁スペーサ160の幅との和の2倍だけ延ばせる。
【0038】
図11を参照すれば、前記開口H2を通じて露出される前記第3絶縁スペーサ160及び第2絶縁スペーサ148aを完全に除去する。このために図7に説明したような等方性エッチング法を用いる。等方性エッチング法で前記第3絶縁スペーサ160及び第2絶縁スペーサ148aを完全に除去することによって、前記開口H2を通じて露出される隣接した2つの第1絶縁スペーサ142の間で前記半導体基板110のソース/ドレーン形成予定領域116が前記第2幅B2より広い第3幅B3にわたって露出される。したがって、前記開口H2を通じて前記半導体基板110のソース/ドレーン形成予定領域116が再び広い面積に露出されるので、後続工程において前記開口H2内にコンタクトプラグが形成された時、前記コンタクトプラグと前記半導体基板110との接触面積が増加し、延びた有効チャンネル長は確保しつつ接触抵抗(コンタクト抵抗)の増加を抑制しうる。
【0039】
前記第2絶縁スペーサ148a及び第3絶縁スペーサ160を除去するに当って、前記第1絶縁スペーサ142を除去せず、前記開口H2を通じて露出される前記ソース/ドレーン形成予定領域116の第3幅B3を前記第1幅B1と同一にできる。または、必要に応じて接触抵抗(コンタクト抵抗)の特性をさらに改善するために、前記第2絶縁スペーサ148a及び第3絶縁スペーサ160の除去と同時に前記第1絶縁スペーサ142の一部を共に除去して前記ソース/ドレーン形成予定領域116の第3幅B3を前記第1幅B1より広げることもできる。前記第3幅B3は工程条件を考慮して必要に応じて調節可能である。
【0040】
引き続き、図8を参照して説明したように、開口H2内に導電物質を充填して、前記ゲート構造120によって自己整列されるコンタクトプラグ(図示せず)を形成する。
【0041】
次いで、本発明の第3実施例に係る半導体素子の製造方法について図12ないし図16を参照して説明する。
【0042】
まず、図12を参照すれば、図1及び図2の説明と同じ方法で半導体基板210に素子分離領域212を形成し、活性領域のうちチャンネル形成予定領域214上にゲート絶縁膜218と、ゲート電極222及びこれを覆っている絶縁層224よりなるゲート構造220を形成する。引き続き、前記ゲート構造220をマスクとして低濃度不純物イオン注入工程を行い、前記ゲート構造220の側壁にシリコン窒化物よりなる第1絶縁スペーサ242を形成する。前記第1絶縁スペーサ242はシリコン窒化物を前記ゲート構造220の全面に蒸着し、エッチバックして形成する。前記第1絶縁スペーサ242によって前記半導体基板210のソース/ドレーン形成予定領域216が前記ゲート電極222の長手方向に直交する方向に第1幅C1にわたって露出される。その後、前記第1絶縁スペーサ242が形成された結果物上に酸化膜よりなる平坦化された層間絶縁膜を形成し、自己整列方式のエッチング工程を経て層間絶縁膜パターン250aを形成する。前記層間絶縁膜パターン250aは、前記ゲート構造220間に形成される開口H3を有しており、前記開口H3を通じて前記半導体基板210及び第1絶縁スペーサ242が露出される。
【0043】
図13を参照すれば、前記層間絶縁膜パターン250aが形成された結果物上にシリコン窒化膜を形成した後、再びエッチバックして前記層間絶縁膜パターン250aの側壁及び前記第1絶縁スペーサ242上にシリコン窒化膜よりなる第2絶縁スペーサ260を形成する。その結果、前記半導体基板210のソース/ドレーン形成予定領域216は前記開口H3を通じて露出された隣接した2つの前記第2絶縁スペーサ260の間で前記ゲート電極222の長手方向に直交する方向に前記第1幅C1より狭い第2幅C2にわたって露出される。
【0044】
図14を参照すれば、前記層間絶縁膜パターン250a及び前記第2絶縁スペーサ260をマスクとして高濃度不純物イオン280注入工程を行う。このために、例えば燐イオンを4.0×1013ions/cm2の濃度及び30KeVのエネルギーで注入する。その際、前記イオン注入に露出されている前記半導体基板210のソース/ドレーン形成予定領域216が第2幅C2に狭まっているので、前記チャンネル形成予定領域214に形成される有効チャンネル長を前記第2絶縁スペーサ260幅の2倍だけ延ばせる。
【0045】
図15を参照すれば、前記開口H3を通じて露出される前記第2絶縁スペーサ260を完全に除去する。このために図7を参照して詳細に説明したような等方性エッチング法を用いる。等方性エッチング法で前記第2絶縁スペーサ260を除去することによって、前記開口H3を通じて露出される隣接した2つの第1絶縁スペーサ242の間で前記半導体基板210のソース/ドレーン形成予定領域216が前記第2幅C2より広い第3幅C3にわたって露出される。したがって、前記開口H3を通じて前記半導体基板210のソース/ドレーン形成予定領域216が再び広い面積に露出されるので、前記開口H3内にコンタクトプラグが形成された時、前記コンタクトプラグと前記半導体基板210との接触面積が増加する。この結果、増加した有効チャンネル長は確保しつつコンタクト抵抗の増加を抑制しうる。
【0046】
前記第2絶縁スペーサ260を除去するに当って、前記第1絶縁スペーサ242を除去しないことによって、前記開口H3を通じて露出される前記ソース/ドレーン形成予定領域216の第3幅C3を前記第1幅C1と同一にしうる。または、必要に応じて前記第2絶縁スペーサ260の除去と同時に前記第1絶縁スペーサ242の一部を除去して前記ソース/ドレーン形成予定領域216の第3幅C3を前記第1幅C1より広げることもできる。
【0047】
図16を参照すれば、図8に説明したように、開口H3内に導電物質を充填して前記ゲート構造220によって自己整列されるコンタクトプラグ290を形成する。
【0048】
次いで、本発明の第4実施例に係る半導体素子の製造方法について図17ないし図22を参照して説明する。
【0049】
まず、図17を参照すれば、図1を参照して説明したような方法で半導体基板310に素子分離領域312を形成し、活性領域のうちチャンネル形成予定領域314上にゲート絶縁膜318と、ゲート電極322及びこれを覆っている絶縁層324よりなるゲート構造320を形成する。その後、前記ゲート構造320をマスクとして前記半導体基板310に低濃度不純物イオン注入工程を行う。
【0050】
次いで、前記ゲート構造320の側壁にシリコン窒化膜342及びシリコン酸化膜344の複合膜より構成される第1絶縁スペーサ340を形成する。前記第1絶縁スペーサ340を形成するために、まずシリコン窒化物を前記ゲート構造320の全面に蒸着し、エッチバックして前記シリコン窒化膜342を形成する。引き続き、前記シリコン窒化膜342上に前記シリコン酸化膜344を形成するために、前記シリコン窒化膜342が形成された前記ゲート構造320の全面にシリコン酸化膜を蒸着し、エッチバックする。前記第1絶縁スペーサ340を構成する前記シリコン酸化膜344によって前記半導体基板310のソース/ドレーン形成予定領域316が前記ゲート電極322の長手方向に直交する方向に第1幅D1にわたって露出される。前記第1幅D1は前記ゲート電極322の間で前記第1絶縁スペーサ340のシリコン窒化膜342によって画定される第2幅D2より狭い幅であって、後続工程で前記ゲート電極322間に形成されるコンタクトプラグの幅より非常に狭い。
【0051】
図18を参照すれば、前記ゲート構造320及び前記第1絶縁スペーサ340をマスクとして前記半導体基板310に低濃度不純物イオン346の追加注入工程を行う。前記低濃度不純物イオン346の追加注入工程は図17を参照して説明したような低濃度不純物イオン注入工程と同じ条件下で行われうる。すなわち、高濃度不純物イオンの注入の際の第2濃度よりも低い第3濃度でイオン注入がされる。前述したように、低濃度不純物イオン346の追加注入工程が第1幅D1に狭くなった領域にのみ行われるので、前記チャンネル形成予定領域314に形成される有効チャンネル長を延ばせる。
【0052】
図19を参照すれば、前記低濃度不純物イオン346が追加注入された結果物上に図4を参照して説明したような方法で酸化膜よりなる平坦化された層間絶縁膜350を形成する。
【0053】
図20を参照すれば、フォトレジスト膜パターン(図示せず)を用いて前記層間絶縁膜350をシリコン酸化膜とシリコン窒化膜とのエッチング選択比差を用いた自己整列方式のエッチング法によりエッチングして平坦化された層間絶縁膜パターン350aを形成する。この際、シリコン酸化膜とシリコン窒化膜とのエッチング選択比差を用いてエッチング工程を行うので、前記層間絶縁膜パターン350aの形成と同時に前記第1絶縁スペーサ340のうちシリコン酸化膜344が除去される。その結果、前記層間絶縁膜パターン350aによって前記ゲート構造320間に形成される開口H4を通じて前記半導体基板310及び第1絶縁スペーサ340のシリコン窒化膜342が露出される。また、前記半導体基板310のソース/ドレーン形成予定領域316は前記開口H4を通じて露出される隣接した2つの前記シリコン窒化膜342間で前記ゲート電極322の長手方向に直交する方向に前記第1幅D1より広い第2幅D2にわたって露出される。
【0054】
図21を参照すれば、前記層間絶縁膜パターン350aが形成された結果物上にシリコン窒化膜を形成した後、再びエッチバックして前記層間絶縁膜パターン350aの側壁及び前記第1絶縁スペーサ340のシリコン窒化膜342上にシリコン窒化膜よりなる第2絶縁スペーサ360を形成する。その結果、前記半導体基板310のソース/ドレーン形成予定領域316は前記開口H4を通じて露出された隣接した2つの前記第2絶縁スペーサ360の間で前記ゲート電極322の長手方向に直交する方向に前記第2幅D2より狭い第3幅D3にわたって露出される。
【0055】
次いで、前記層間絶縁膜パターン350a及び前記第2絶縁スペーサ360をマスクとして高濃度不純物イオン380注入工程を行う。このために、例えば燐イオンを4.0×1013ions/cm2の濃度及び30KeVのエネルギーで注入する。その結果、前記イオン注入に露出されている前記半導体基板310のソース/ドレーン形成予定領域316が第3幅D3に狭まっているので、前記チャンネル形成予定領域314に形成される有効チャンネル長を前記第2絶縁スペーサ360の幅の2倍だけ延ばせる。
【0056】
図22を参照すれば、前記開口H4を通じて露出される前記第2絶縁スペーサ360を完全に除去する。このために図7を参照して詳細に説明したような等方性エッチング法を用いる。等方性エッチング法で前記第2絶縁スペーサ360を除去することによって、前記開口H4を通じて露出された隣接した2つのシリコン窒化膜342の間で前記半導体基板310のソース/ドレーン形成予定領域316が前記第3幅D3より広い第4幅D4だけ露出される。前記第4幅D4は前記第2幅D2と同一か広く調節しうる。このように、前記開口H4を通じて前記半導体基板310のソース/ドレーン形成予定領域316が再び広い面積に露出されるので、前記開口H4内にコンタクトプラグ390を形成した時、前記コンタクトプラグと前記半導体基板310との接触面積が増加する。この結果、延びた有効チャンネル長は確保しつつコンタクト抵抗の増加を抑制しうる。
【0057】
次いで、本発明の第5実施例に係る半導体素子の製造方法について図23ないし図28を参照して説明する。
【0058】
まず、図23を参照すれば、図1を参照して説明したような方法で半導体基板410に素子分離領域412を形成し、活性領域のうちチャンネル形成予定領域414上にゲート絶縁膜418と、ゲート電極422及びこれを覆っている絶縁層424よりなるゲート構造420を形成する。引き続き、前記ゲート構造420をマスクとして前記半導体基板410に低濃度不純物イオン注入工程を行う。
【0059】
次いで、前記ゲート構造420の側壁にシリコン窒化物よりなる第1絶縁スペーサ442を形成する。前記第1絶縁スペーサ442はシリコン窒化物を前記ゲート構造420の全面に蒸着し、エッチバックして形成する。前記ゲート構造420及び第1絶縁スペーサ442上にシリコン酸化物よりなるライナ444を形成する。前記ライナ444は約20〜200Å、望ましくは約100Åの厚さに形成されうる。前記ライナ444によって前記半導体基板410のソース/ドレーン形成予定領域416が前記ゲート電極422の長手方向に直交する方向に第1幅E1にわたって露出される。前記第1幅E1は前記ゲート電極422間で前記第1絶縁スペーサ442によって限定される第2幅E2より狭い幅であって、後続工程で前記ゲート電極422間に形成するためにコンタクトプラグの幅より非常に狭い。
【0060】
図24を参照すれば、前記ゲート構造420及び前記第1絶縁スペーサ442上に形成された前記ライナ444をマスクとして前記半導体基板410に低濃度不純物イオン446の追加注入工程を行う。前記低濃度不純物イオン446の追加注入工程は図23を参照して説明したような低濃度不純物イオン注入工程と同じ条件下で行われうる。前述したように、低濃度不純物イオン446の追加注入工程は第1幅E1に狭まった領域にのみ行われるので、前記チャンネル形成予定領域414に形成される有効チャンネル長を延ばせる。
【0061】
図25を参照すれば、前記低濃度不純物イオン446の追加注入された結果物上に、図4の説明と同じ方法で酸化膜よりなる平坦化された層間絶縁膜450を形成する。
【0062】
図26を参照すれば、フォトレジスト膜パターン(図示せず)を用いて前記層間絶縁膜450をシリコン酸化膜とシリコン窒化膜とのエッチング選択比差を用いた自己整列方式のエッチング法によりエッチングして平坦化された層間絶縁膜パターン450aを形成する。この際、シリコン酸化膜とシリコン窒化膜とのエッチング選択比差を用いてエッチング工程を行うので、前記層間絶縁膜パターン450aが形成されると同時に前記ライナ444のうち一部、すなわち前記第1絶縁スペーサ442上に形成されてエッチングガスに露出される部分が除去される。その結果、前記層間絶縁膜パターン450aによって前記ゲート構造420間で画定される開口H5を通じて前記半導体基板410及び第1絶縁スペーサ442が露出される。また、前記半導体基板410のソース/ドレーン形成予定領域416は前記開口H5を通じて露出される隣接した2つの前記第1絶縁スペーサ442の間で前記ゲート電極422の長手方向に直交する方向に前記第1幅E1より広い第2幅E2にわたって露出される。
【0063】
図27を参照すれば、前記層間絶縁膜パターン450aが形成された結果物上にシリコン窒化膜を形成した後、再びエッチバックして前記層間絶縁膜パターン450aの側壁及び前記第1絶縁スペーサ442上にシリコン窒化膜よりなる第2絶縁スペーサ460を形成する。その結果、前記半導体基板410のソース/ドレーン形成予定領域416は前記開口H5を通じて露出された隣接した2つの前記第2絶縁スペーサ460間で前記ゲート電極422の長手方向に直交する方向に前記第2幅E2より狭い第3幅E3にわたって露出される。
【0064】
次いで、前記層間絶縁膜パターン450a及び前記第2絶縁スペーサ460をマスクとして高濃度不純物イオン480注入工程を行う。このために、例えば燐イオンを4.0×1013ions/cm2の濃度及び30KeVのエネルギーで注入する。その結果、前記イオン注入に露出されている前記半導体基板410のソース/ドレーン形成予定領域416が第3幅E3に狭まっているので、前記チャンネル形成予定領域414に形成される有効チャンネル長を前記第2絶縁スペーサ460の幅の2倍だけ延ばせる。
【0065】
図28を参照すれば、前記開口H5を通じて露出される前記第2絶縁スペーサ460を完全に除去する。このために図7を参照して詳細に説明したような等方性エッチング法を用いる。等方性エッチング法で前記第2絶縁スペーサ460を除去することによって、前記開口H5を通じて露出された隣接した2つの第1絶縁スペーサ442の間で前記半導体基板410のソース/ドレーン形成予定領域416が前記第3幅E3より広い第4幅E4にわたって露出される。前記第4幅E4は、所望通り前記第2幅E2と同一か前記第2幅E2より広く調節しうる。このように、前記開口H5を通じて前記半導体基板410のソース/ドレーン形成予定領域416が再び広い面積に露出されるので、前記開口H5内にコンタクトプラグ490を形成した時、前記コンタクトプラグと前記半導体基板410との接触面積が増加し、延びた有効チャンネル長を確保しつつ接触抵抗(コンタクト抵抗)の増加を抑制しうる。
【0066】
本発明に係る半導体素子の製造方法では、トランジスタの有効チャンネル長を延ばしつつ、接触抵抗(コンタクト抵抗)特性を改善できるようにソース/ドレーン領域とコンタクトプラグとの十分な接触面積を確保するために、ゲート構造及び層間絶縁膜パターンの側壁に形成される絶縁スペーサを用いる。すなわち、ソース/ドレーン領域の形成のための高濃度不純物イオンの注入工程前にソース/ドレーン形成予定領域の露出面積を狭めるための窒化物スペーサを形成することによって半導体基板の露出面積を最小化し、チャンネル形成予定領域で延びたチャンネル長を確保する。そして、ソース/ドレーン領域の形成のための高濃度不純物イオンの注入工程が完了した後には等方性エッチング法を用いて前記窒化物スペーサを完全に除去することによって、ソース/ドレーン領域と、その上に形成されるコンタクトプラグとの接触面積を増加させることによって接触抵抗特性を向上させる。
【0067】
本発明によれば、デザインルールが0.2μm以下である高集積半導体素子としてゲート電極によって自己整列されるコンタクトプラグを有する半導体素子の製造に際して、シリコン窒化物よりなる絶縁スペーサを用いて有効チャンネル長及びコンタクト接触面積を調節するので、半導体素子の接触抵抗を増加させずにセルトランジスタの信頼性が確保されてDRAMセルの動作特性を改善しうる。
【0068】
以上、本発明を望ましい実施例を挙げて詳細に説明したが、本発明は前記実施例に限定されず、本発明の技術的思想の範囲内で当業者によって多様な変形が可能である。
【図面の簡単な説明】
【図1】 本発明の第1実施例に係る半導体素子の製造方法を工程順序にしたがって説明するための断面図である。
【図2】 図1に後続する工程を示す断面図である。
【図3】 図2に後続する工程を示す断面図である。
【図4】 図3に後続する工程を示す断面図である。
【図5】 図4に後続する工程を示す断面図である。
【図6】 図5に後続する工程を示す断面図である。
【図7】 図6に後続する工程を示す断面図である。
【図8】 図7に後続する工程を示す断面図である。
【図9】 本発明の第2実施例に係る半導体素子の製造方法を工程順序にしたがって説明するための断面図である。
【図10】 図9に後続する工程を示す断面図である。
【図11】 図10に後続する工程を示す断面図である。
【図12】 本発明の第3実施例に係る半導体素子の製造方法を工程順序にしたがって説明するための断面図である。
【図13】 図12に後続する工程を示す断面図である。
【図14】 図13に後続する工程を示す断面図である。
【図15】 図14に後続する工程を示す断面図である。
【図16】 図15に後続する工程を示す断面図である。
【図17】 本発明の第4実施例に係る半導体素子の製造方法を工程順序にしたがって説明するための断面図である。
【図18】 図17に後続する工程を示す断面図である。
【図19】 図18に後続する工程を示す断面図である。
【図20】 図19に後続する工程を示す断面図である。
【図21】 図20に後続する工程を示す断面図である。
【図22】 図21に後続する工程を示す断面図である。
【図23】 本発明の第5実施例に係る半導体素子の製造方法を工程順序にしたがって説明するための断面図である。
【図24】 図23に後続する工程を示す断面図である。
【図25】 図24に後続する工程を示す断面図である。
【図26】 図25に後続する工程を示す断面図である。
【図27】 図26に後続する工程を示す断面図である。
【図28】 図27に後続する工程を示す断面図である。
【符号の説明】
110…半導体基板、
112…素子分離領域、
114…チャンネル形成予定領域、
116…ソース/ドレーン形成予定領域、
118…ゲート絶縁膜、
122…ゲート電極、
124…絶縁層、
120…ゲート構造、
142…第1絶縁スペーサ、
148…ライナ、
148a…第2絶縁スペーサ、
150a…層間絶縁膜パターン、
160…第3絶縁スペーサ、
180…高濃度不純物イオン。

Claims (35)

  1. 半導体基板上に、ゲート電極と、前記ゲート電極の上面を覆う絶縁膜とよりなる複数のゲート構造を形成する段階と、
    前記ゲート構造をマスクとして前記半導体基板にソース/ドレーンを形成するために第1濃度で不純物イオン注入を行う段階と、
    前記ゲート構造の側壁に第1絶縁スペーサを形成する段階と、
    前記ゲート構造及び第1絶縁スペーサ上にシリコン窒化物からなるライナを形成することによって、第1絶縁スペーサの露出面上に第2絶縁スペーサを形成する段階と、
    隣接した2つの前記第2絶縁スペーサの間で前記半導体基板を露出する開口を画定する平坦化された層間絶縁膜パターンを前記ゲート構造上に形成する段階と、
    前記層間絶縁膜パターン及び第2絶縁スペーサをマスクとして前記半導体基板にソース/ドレーンを形成するために第1濃度より高い第2濃度で不純物イオン注入を行う段階と、
    前記第2絶縁スペーサを完全に除去する段階と、を含むことを特徴とする半導体素子の製造方法。
  2. 前記第2絶縁スペーサを除去する段階は、オゾン水を用いるウェットエッチング法によって行われることを特徴とする請求項1に記載の半導体素子の製造方法。
  3. 前記第2絶縁スペーサを除去する段階は、
    オゾン水とHF溶液との混合液よりなるエッチング液を用いる第1ウェットエッチング段階と、
    オゾン水のみからなるエッチング液を用いる第2ウェットエッチング段階と、を含むことを特徴とする請求項2に記載の半導体素子の製造方法。
  4. 前記オゾン水とHF溶液との混合液は、オゾン水:HF溶液の体積比が1000:1〜1500:1であることを特徴とする請求項3に記載の半導体素子の製造方法。
  5. 前記第2絶縁スペーサを除去する段階は、O2及びCF4の混合ガスを用いるダウンストリーム型プラズマエッチング法によって行われることを特徴とする請求項1に記載の半導体素子の製造方法。
  6. 前記混合ガスはO2:CF4の流量比が10:1〜100:1の範囲で使われることを特徴とする請求項5に記載の半導体素子の製造方法。
  7. 前記層間絶縁膜パターンは、BPSG膜、または高密度プラズマCVD法によって形成されたシリコン酸化膜よりなることを特徴とする請求項1に記載の半導体素子の製造方法。
  8. 半導体基板上に、ゲート電極と、前記ゲート電極の上面を覆う絶縁膜とよりなる複数のゲート構造を形成する段階と、
    前記ゲート構造をマスクとして前記半導体基板にソース/ドレーンを形成するために第1濃度で不純物イオン注入を行う段階と、
    前記ゲート構造の側壁に第1絶縁スペーサを形成する段階と、
    前記各ゲート構造及び第1絶縁スペーサ上にシリコン窒化物からなるライナを形成することによって、第1絶縁スペーサの露出面上に第2絶縁スペーサを形成する段階と、
    隣接した2つの前記第2絶縁スペーサの間で前記半導体基板を露出する開口を画定する平坦化された層間絶縁膜パターンを前記ゲート構造上に形成する段階と、
    前記層間絶縁膜パターンの側壁と前記第2絶縁スペーサ上にシリコン窒化物よりなる第3絶縁スペーサを形成する段階と、
    前記層間絶縁膜パターン及び第3絶縁スペーサをマスクとして前記半導体基板にソース/ドレーンを形成するために前記第1濃度より高い第2濃度で不純物イオン注入を行う段階と、
    前記第2絶縁スペーサ及び前記第3絶縁スペーサを除去する段階と、を含むことを特徴とする半導体素子の製造方法。
  9. 前記第2絶縁スペーサ及び前記第3絶縁スペーサを除去する段階では、前記第2絶縁スペーサ及び前記第3絶縁スペーサが同時に除去されることを特徴とする請求項8に記載の半導体素子の製造方法。
  10. 前記第2絶縁スペーサ及び前記第3絶縁スペーサを除去する段階は、オゾン水を用いるウェットエッチング法によって行われることを特徴とする請求項9に記載の半導体素子の製造方法。
  11. 前記第2絶縁スペーサ及び前記第3絶縁スペーサを除去する段階は、
    オゾン水とHF溶液との混合液よりなるエッチング液を用いる第1ウェットエッチング段階と、
    オゾン水のみからなるエッチング液を用いる第2ウェットエッチング段階と、を含むことを特徴とする請求項10に記載の半導体素子の製造方法。
  12. 前記オゾン水とHF溶液との混合液は、オゾン水:HF溶液の体積比が1000:1〜1500:1であることを特徴とする請求項11に記載の半導体素子の製造方法。
  13. 前記第2絶縁スペーサ及び第3絶縁スペーサを除去する段階は、O2及びCF4の混合ガスを用いるダウンストリーム型プラズマエッチング法によって行われることを特徴とする請求項9に記載の半導体素子の製造方法。
  14. 前記混合ガスはO2:CF4の流量比が10:1〜100:1の範囲で使われることを特徴とする請求項13に記載の半導体素子の製造方法。
  15. 前記層間絶縁膜パターンは、BPSG膜、または高密度プラズマCVD法によって形成されたシリコン酸化膜よりなることを特徴とする請求項8に記載の半導体素子の製造方法。
  16. 半導体基板上に、ゲート電極と、前記ゲート電極の上面を覆う絶縁膜よりなる複数のゲート構造を形成する段階と、
    前記ゲート構造をマスクとして前記半導体基板にソース/ドレーンを形成するために第1濃度で不純物イオン注入を行う段階と、
    前記ゲート構造の側壁に第1絶縁スペーサを形成する段階と、
    前記第1濃度で不純物イオン注入された半導体基板を露出する開口を画定する平坦化された層間絶縁膜パターンを前記ゲート構造上に形成する段階と、
    前記層間絶縁膜パターンの側壁と前記第1絶縁スペーサ上にシリコン窒化物よりなる第2絶縁スペーサを形成する段階と、
    前記層間絶縁膜パターン及び第2絶縁スペーサをマスクとして前記半導体基板にソース/ドレーンを形成するために前記第1濃度より高い第2濃度で不純物イオン注入を行う段階と、
    前記第2絶縁スペーサを完全に除去する段階と、を含むことを特徴とする半導体素子の製造方法。
  17. 前記第2絶縁スペーサを除去する段階は、オゾン水を用いるウェットエッチング法によって行われることを特徴とする請求項16に記載の半導体素子の製造方法。
  18. 前記第2絶縁スペーサを除去する段階は、
    オゾン水とHF溶液との混合液よりなるエッチング液を用いる第1ウェットエッチング段階と、
    オゾン水のみからなるエッチング液を用いる第2ウェットエッチング段階と、を含むことを特徴とする請求項17に記載の半導体素子の製造方法。
  19. 前記オゾン水とHF溶液との混合液は、オゾン水:HF溶液の体積比が1000:1〜1500:1であることを特徴とする請求項18に記載の半導体素子の製造方法。
  20. 前記第2絶縁スペーサを除去する段階は、O2及びCF4の混合ガスを用いるダウンストリーム型プラズマエッチング法によって行われることを特徴とする請求項16に記載の半導体素子の製造方法。
  21. 前記混合ガスはO2:CF4の流量比が10:1〜100:1の範囲で使われることを特徴とする請求項20に記載の半導体素子の製造方法。
  22. 前記層間絶縁膜パターンは、BPSG膜、または高密度プラズマCVD法によって形成されたシリコン酸化膜よりなることを特徴とする請求項16に記載の半導体素子の製造方法。
  23. 前記第1絶縁スペーサは、シリコン窒化膜のみからなることを特徴とする請求項16に記載の半導体素子の製造方法。
  24. 前記第1絶縁スペーサは、前記ゲート構造と接するシリコン窒化膜と、前記シリコン窒化膜上に形成されたシリコン酸化膜よりなることを特徴とする請求項16に記載の半導体素子の製造方法。
  25. 前記第1絶縁スペーサを形成した後、前記ゲート構造及び前記第1絶縁スペーサをマスクとして前記半導体基板に前記第2濃度より低い第3濃度で不純物イオンを追加注入する段階をさらに含むことを特徴とする請求項24に記載の半導体素子の製造方法。
  26. 前記第1絶縁スペーサを形成した後、前記ゲート構造及び第1絶縁スペーサ上にシリコン酸化物からなるライナを形成する段階と、
    前記シリコン酸化物からなるライナをマスクとして前記半導体基板に前記第2濃度より低い第3濃度で不純物イオンを追加注入する段階と、をさらに含むことを特徴とする請求項16に記載の半導体素子の製造方法。
  27. 前記層間絶縁膜パターンを形成する段階は、
    前記第3濃度で不純物イオンの追加注入が行われた半導体基板及び前記シリコン酸化物からなるライナ上に平坦化された層間絶縁膜を形成する段階と、
    前記層間絶縁膜をパターニングして前記開口を形成する段階と、
    前記層間絶縁膜のパターニングと同時に前記第1絶縁スペーサを露出すべく前記シリコン酸化物からなるライナの一部を除去する段階と、を含むことを特徴とする請求項26に記載の半導体素子の製造方法。
  28. チャンネル形成予定領域である第1領域とソース/ドレーン形成予定領域である第2領域とを有する半導体基板を準備する段階と、
    前記第1領域上にゲート電極を形成する段階と、
    前記ゲート電極をマスクとして前記第2領域に第1濃度で不純物イオン注入を行う段階と、
    前記ゲート電極の長手方向に直交する第1方向に第1幅にわたって前記第2領域が露出されるべく前記ゲート電極の側壁に第1絶縁スペーサを形成する段階と、
    前記ゲート電極上に前記第1絶縁スペーサを露出する開口を画定する平坦化された層間絶縁膜パターンを形成する段階と、
    前記第1幅より狭い第2幅にわたって前記第2領域が露出されるべく前記層間絶縁膜パターンの側壁と前記第1絶縁スペーサ上に第2絶縁スペーサを形成する段階と、
    前記層間絶縁膜パターン及び前記第2絶縁スペーサをマスクとして前記第2領域に前記第1濃度より高い第2濃度で不純物イオン注入を行う段階と、
    前記第1幅にわたって前記第2領域が露出されるべく前記第2絶縁スペーサを除去する段階と、を含むことを特徴とする半導体素子の製造方法。
  29. 前記第1幅より広い第3幅にわたって前記第2領域が露出されるべく前記第1絶縁スペーサの一部を除去する段階をさらに含むことを特徴とする請求項28に記載の半導体素子の製造方法。
  30. 前記第2絶縁スペーサを除去する段階は、オゾン水とHF溶液との混合液よりなるエッチング液を用いるウェットエッチング法で行われることを特徴とする請求項28に記載の半導体素子の製造方法。
  31. 前記第2絶縁スペーサを除去する段階は、O2及びCF4の混合ガスを用いるダウンストリーム型プラズマエッチング法によって行われることを特徴とする請求項28に記載の半導体素子の製造方法。
  32. 前記第1方向に前記第1幅より狭い第4幅にわたって前記第2領域を露出すべく前記第1絶縁スペーサ上にシリコン窒化物からなるライナを形成する段階をさらに含み、
    前記第2絶縁スペーサは前記シリコン窒化物からなるライナ上に形成されることを特徴とする請求項28に記載の半導体素子の製造方法。
  33. 前記第1幅より狭い第4幅にわたって前記第2領域を露出すべく前記第1絶縁スペーサ上にシリコン酸化物からなるライナを形成する段階と、
    前記シリコン酸化物からなるライナをマスクとして前記第2領域に低濃度不純物イオンを追加注入する段階と、をさらに含むことを特徴とする請求項28に記載の半導体素子の製造方法。
  34. 前記平坦化された層間絶縁膜パターンを形成する段階は、
    前記シリコン酸化物からなるライナ及び前記第2領域上に平坦化された層間絶縁膜を形成する段階と、
    前記層間絶縁膜をパターニングして前記第2領域を露出する開口を形成する段階と、
    前記層間絶縁膜のパターニングと同時に前記第1絶縁スペーサが露出されるべく前記シリコン酸化物からなるライナを除去する段階と、を含むことを特徴とする請求項33に記載の半導体素子の製造方法。
  35. 前記開口内に導電性プラグを形成する段階をさらに含むことを特徴とする請求項28に記載の半導体素子の製造方法。
JP2003134323A 2002-05-14 2003-05-13 半導体素子の製造方法 Expired - Fee Related JP4313082B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0026438A KR100464416B1 (ko) 2002-05-14 2002-05-14 증가된 유효 채널 길이를 가지는 반도체 소자의 제조 방법

Publications (2)

Publication Number Publication Date
JP2004006869A JP2004006869A (ja) 2004-01-08
JP4313082B2 true JP4313082B2 (ja) 2009-08-12

Family

ID=29417361

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003134323A Expired - Fee Related JP4313082B2 (ja) 2002-05-14 2003-05-13 半導体素子の製造方法

Country Status (4)

Country Link
US (1) US6815300B2 (ja)
JP (1) JP4313082B2 (ja)
KR (1) KR100464416B1 (ja)
CN (1) CN100428442C (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6998657B2 (en) * 2003-10-21 2006-02-14 Micron Technology, Inc. Single poly CMOS imager
DE102004019597A1 (de) * 2004-04-22 2005-11-17 Infineon Technologies Ag Verfahren zur Herstellung eines selbstjustierten Kontaktes und selbstjustierter Kontakt
KR100549014B1 (ko) * 2004-07-21 2006-02-02 삼성전자주식회사 스페이서 패턴을 갖는 반도체 장치들 및 그 형성방법들
DE102004063025B4 (de) * 2004-07-27 2010-07-29 Hynix Semiconductor Inc., Icheon Speicherbauelement und Verfahren zur Herstellung desselben
US7169676B1 (en) * 2005-05-23 2007-01-30 Advanced Micro Devices, Inc. Semiconductor devices and methods for forming the same including contacting gate to source
DE102005038939B4 (de) * 2005-08-17 2015-01-08 Qimonda Ag Halbleiterspeicherbauelement mit oberseitig selbstjustiert angeordneten Wortleitungen und Verfahren zur Herstellung von Halbleiterspeicherbauelementen
JP4215787B2 (ja) * 2005-09-15 2009-01-28 エルピーダメモリ株式会社 半導体集積回路装置およびその製造方法
JP4205734B2 (ja) * 2006-05-25 2009-01-07 エルピーダメモリ株式会社 半導体装置の製造方法
US7678648B2 (en) 2006-07-14 2010-03-16 Micron Technology, Inc. Subresolution silicon features and methods for forming the same
US7871885B2 (en) * 2006-12-20 2011-01-18 Dongbu Hitek Co., Ltd. Manufacturing method of flash memory device
KR100831158B1 (ko) * 2006-12-20 2008-05-20 동부일렉트로닉스 주식회사 플래시 메모리 소자의 제조방법
JP4912907B2 (ja) * 2007-02-06 2012-04-11 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
TWI340432B (en) * 2007-04-03 2011-04-11 Nanya Technology Corp Process flow of dynamic random access memory
CN107452680B (zh) * 2016-06-01 2020-05-05 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
CN108807276A (zh) * 2017-05-05 2018-11-13 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
FR3113770A1 (fr) * 2020-08-31 2022-03-04 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procédé de fabrication de composants micro-électroniques
CN117199115A (zh) * 2022-05-31 2023-12-08 长鑫存储技术有限公司 晶体管及其制作方法、存储器
CN117199114A (zh) * 2022-05-31 2023-12-08 长鑫存储技术有限公司 晶体管及其制作方法、存储器

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697192A (ja) * 1992-07-29 1994-04-08 Kawasaki Steel Corp 半導体装置及びその製造方法
US5362666A (en) * 1992-09-18 1994-11-08 Micron Technology, Inc. Method of producing a self-aligned contact penetrating cell plate
JP2809080B2 (ja) * 1993-12-15 1998-10-08 日本電気株式会社 半導体装置の製造方法
KR0140719B1 (ko) * 1995-03-08 1998-07-15 김주용 모스 전계효과 트랜지스터의 제조방법
JP3795634B2 (ja) * 1996-06-19 2006-07-12 株式会社東芝 半導体装置の製造方法
KR100396686B1 (ko) * 1996-11-26 2003-11-20 주식회사 하이닉스반도체 반도체소자의콘택홀형성방법
US5763312A (en) * 1997-05-05 1998-06-09 Vanguard International Semiconductor Corporation Method of fabricating LDD spacers in MOS devices with double spacers and device manufactured thereby
KR19980084560A (ko) * 1997-05-23 1998-12-05 윤종용 반도체장치의 제조공정에서 콘택홀 형성방법
JPH11121710A (ja) * 1997-10-09 1999-04-30 Fujitsu Ltd 半導体装置及びその製造方法
JP2001127174A (ja) * 1999-10-25 2001-05-11 Mitsubishi Electric Corp 半導体装置
KR100322338B1 (ko) * 1999-12-22 2002-02-07 박종섭 반도체장치의 제조방법
US6348379B1 (en) * 2000-02-11 2002-02-19 Advanced Micro Devices, Inc. Method of forming self-aligned contacts using consumable spacers

Also Published As

Publication number Publication date
US20030216004A1 (en) 2003-11-20
JP2004006869A (ja) 2004-01-08
KR20030088326A (ko) 2003-11-19
KR100464416B1 (ko) 2005-01-03
US6815300B2 (en) 2004-11-09
CN1458683A (zh) 2003-11-26
CN100428442C (zh) 2008-10-22

Similar Documents

Publication Publication Date Title
JP4313082B2 (ja) 半導体素子の製造方法
JP4210347B2 (ja) 高耐圧トランジスタ及びその製造方法
KR100618861B1 (ko) 로컬 리세스 채널 트랜지스터를 구비하는 반도체 소자 및그 제조 방법
US7026199B2 (en) Transistor of semiconductor device and method for manufacturing the same
KR100282452B1 (ko) 반도체 소자 및 그의 제조 방법
KR100393205B1 (ko) 자기정렬 콘택구조를 가진 메모리영역과 샐리사이디드된듀얼 게이트 구조의 로직영역이 병합된 mml 반도체소자 및 그 제조방법
CN210272309U (zh) 半导体结构
JP4551795B2 (ja) 半導体装置の製造方法
GB2392557A (en) Semiconductor device and method of manufacture
JP2006245578A (ja) 半導体装置の製造方法
KR20000013720A (ko) 반도체장치의 접촉창의 제조방법
CN106463505A (zh) 阱电阻和多晶硅电阻
KR100718248B1 (ko) 리세스 구조의 형성 방법, 이를 이용한 리세스된 채널을갖는 트랜지스터 및 그 제조 방법
KR100486253B1 (ko) 수직형 트랜지스터의 제조방법
KR100681286B1 (ko) 리세스된 채널을 갖는 반도체 장치의 제조 방법
US20010046761A1 (en) Method of fabricating contact pads of a semiconductor device
KR20070098452A (ko) 채널면적을 증가시킨 반도체소자 및 그의 제조 방법
KR20010093012A (ko) 반도체장치의 트랜지스터 제조방법
KR20060042460A (ko) 반도체소자의 리세스 채널을 갖는 트랜지스터 제조방법
US7674681B2 (en) Semiconductor device and method for manufacturing the same
JP2004241469A (ja) 半導体装置およびその製造方法
KR100586553B1 (ko) 반도체 소자의 게이트 및 이의 형성 방법
KR20060014672A (ko) 리세스된 채널영역들을 갖는 모스 트랜지스터들을채택하는 반도체 장치들 및 그 제조방법들
KR20050052027A (ko) 리세스된 게이트를 갖는 반도체소자 및 그 제조방법
KR20010018687A (ko) 반도체장치의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090428

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090514

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120522

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120522

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130522

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees