JP3954974B2 - 半導体デバイス - Google Patents

半導体デバイス Download PDF

Info

Publication number
JP3954974B2
JP3954974B2 JP2003019203A JP2003019203A JP3954974B2 JP 3954974 B2 JP3954974 B2 JP 3954974B2 JP 2003019203 A JP2003019203 A JP 2003019203A JP 2003019203 A JP2003019203 A JP 2003019203A JP 3954974 B2 JP3954974 B2 JP 3954974B2
Authority
JP
Japan
Prior art keywords
metal
semiconductor device
wiring
wiring level
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003019203A
Other languages
English (en)
Other versions
JP2003243401A (ja
Inventor
チャールズ・アール・デイヴィス
デイヴィッド・エル・ホウケン
ディー・ヤング・ユング
ウィリアム・エフ・ランダース
デイヴィッド・エル・クゥエスタッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2003243401A publication Critical patent/JP2003243401A/ja
Application granted granted Critical
Publication of JP3954974B2 publication Critical patent/JP3954974B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04042Bonding areas specifically adapted for wire connectors, e.g. wirebond pads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05075Plural internal layers
    • H01L2224/0508Plural internal layers being stacked
    • H01L2224/05085Plural internal layers being stacked with additional elements, e.g. vias arrays, interposed between the stacked layers
    • H01L2224/05089Disposition of the additional element
    • H01L2224/05093Disposition of the additional element of a plurality of vias
    • H01L2224/05096Uniform arrangement, i.e. array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05554Shape in top view being square
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0613Square or rectangular array
    • H01L2224/06131Square or rectangular array being uniform, i.e. having a uniform pitch across the array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/06154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • H01L2224/06155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Description

【0001】
【発明の属する技術分野】
本発明は、多層配線構造、特に、低誘電率層間絶縁材料の剥離およびクラッキングを抑制するように働く多層半導体構造およびその形成方法に関する。
【0002】
【従来の技術】
半導体デバイスの製造において、高速,高密度,デザイン複雑性の要求は、半導体デバイスの種々の電気素子を有効に相互接続するために、半導体基板の面上に多層配線構造を形成することを必要としてきた。多層配線構造においては、複数の配線レベル層は、層間絶縁膜によって分離されており、各配線レベル層の相互接続は、層間絶縁膜内のバイア内に形成された導電部材によって実現される。製造プロセスのこの配線レベル統合は、後工程(back-end-of-line:BEOL)として知られている。
【0003】
典型的な半導体製造プロセスのBEOLは、配線レベル導体とバイア導体との間の層内および層間絶縁膜として、無機酸化物誘電体材料を用いている。このようなプロセスに対して製造業で用いられる典型的な誘電体材料は、二酸化シリコン,石英ガラス,フッ化石英ガラスである。これらの材料は、通常は、化学蒸着(CVD)プロセスまたはスピンオングラス(SOG)プロセスによって付着される。
【0004】
高速および高密度の半導体デバイスへの要求が増大するにつれて、製造技術は、層間絶縁膜として有機誘電体材料の使用が要求されてきた。これらの有機誘電体材料は、無機誘電体材料よりも低い誘電率(k)を有している。低誘電率の誘電体材料は、通常、スピンコーティング・プロセスによって設けられる。低誘電率誘電体材料の物理的特性は、無機酸化物誘電体材料により典型的に示される物理的特性とは、全く異なっている。例えば、低誘電率誘電体材料は、低いオーダの弾性率を有している。SiLK(登録商標)のような典型的な低誘電率材料は、2.7MPaの弾性率を有し、一方、SiO2 は72MPaの弾性率を有している。同様に、これら材料には1オーダ以上の硬度差が存在する。すなわち、SiLKは0.25GPAの硬度を示し、SiO2 は8.7GPAの硬度を示す。同様に、これら材料の熱膨張係数(CTE)には、著しい違いがある。すなわち、SiLKは50×10-6/℃のCTEを有し、SiO2 は3×10-6/℃のCTEを有している。
【0005】
これらの特性差の結果、低誘電率誘電体材料を用いるBEOL配線レベル統合プロセスは、無機誘電体材料を典型的に用いるプロセスよりも、さらに複雑である。例えば、低誘電率誘電体材料を、化学機械研磨(CMP)プロセスと共用できるようにするためには、低誘電率誘電体材料上に二酸化シリコンまたは窒化シリコンのような硬質材料よりなる薄層が、必要とされる。
【0006】
したがって、低誘電率高分子誘電体材料の使用は、無機誘電体材料を用いる際に知られていることに対して、新たな問題を生起する。例えば、低誘電率有機材料は、多層BEOL配線構造に用いられる従来の酸化物誘電体材料に比べて、かなり低い接着強度を有している。この点に関し、酸化物誘電体材料は、非常に強力な界面接着を形成するので、酸化物誘電体材料をベースとした半導体チップは、製造プロセスまたは信頼性テストの際に、チップに加えられる機械的ストレスおよび熱的ストレスに対して十分に抵抗できる。
【0007】
低誘電率誘電体材料に関連する界面での低接着強度は、BEOL処理,熱サイクル処理,または他のストレステスト処理の際の界面での剥離の可能性を、従来生じている以上に増大させる。この剥離は、半導体チップの配線層のクラッキングを生じさせる。例えば、ダイシング・プロセスによって生じるデバイスのエッジでのわずかな剥離は、チップの中心に向かって伝搬し、時間が経過するとデバイス障害を生じさせる。剥離の危険性は、BEOL配線レベルの数が増大するにつれて、増大するので、多数の配線レベルを有する進歩したデバイスは、この重大な問題に屈しやすい。
【0008】
ハンドリングおよびダイシングのような機械的に生起されるストレスに加えて、半導体デバイスに低誘電率誘電体材料を用いるときにも、類似のストレスが生じる。これは、デバイスが温度変動にさらされるときに、弾性率およびCTEの差によって生じる。この点に関し、ストレスの大きさは、CTEおよび弾性率の関数であるだけでなく、低誘電率誘電体材料,ハード・マスク材料,金属導体材料,パシベーション材料,パッケージング材料,構造内の各材料の厚さおよび体積割合の関数でもある。
【0009】
【発明が解決しようとする課題】
したがって、本発明の目的は、改善された半導体デバイス構造を提供することにある。
【0010】
本発明の他の目的は、層の剥離を阻止する多層半導体デバイス強化構造、および強化構造を製造する方法を提供することにある。
【0011】
本発明のさらに他の目的は、層の剥離および金属配線のクラッキングを最小にして、銅をベースとした金属よりなる層間に、低誘電率の有機誘電体材料を使用することを可能にする、改善された多層半導体デバイスの構造および製造方法を提供することにある。
【0012】
本発明のさらに他の目的は、低誘電率誘電体材料を用いる改善された多層半導体構造であって、相互接続された導電線および導電バイアよりなるネットワークまたはメッシュが、半導体デバイスの少なくとも周囲に用いられて、全BEOL作製多層構造を連結して、剥離およびクラッキングを阻止する、多層半導体構造を提供することにある。
【0013】
本発明のさらに他の目的は、能動デバイスから電気的に分離されたメッシュ状強化構造であって、低誘電率の絶縁膜よりなる層を分離する銅をベースとした金属層とバイアとを共に機械的に接続し、およびBEOL処理と同時に形成される強化構造を有する多層半導体デバイスを提供することにある。
【0014】
【課題を解決するための手段】
本発明によれば、低誘電率誘電体材料および銅をベースとした金属配線を用いる多層半導体構造の構造的完全性を改善するためのメッシュ状強化構造が提供される。メッシュ状相互接続構造は、各配線レベルにおいて導電線によって相互接続された導電パッドを備え、各導電パッドは、複数の導電バイアによって、次の配線レベルの隣接パッドに接続される。この構造は、全BEOL能動デバイス配線統合メタライゼーションパターンを連結する構造であり、通常のBEOLデバイス配線レベル統合プロセスの間に作製される。このメッシュ状強化構造は、チップのようなデバイスの周囲に、あるいは剥離を阻止するための連結を必要とするデバイスの空き領域内に作製することができる。
【0015】
本発明の前記目的および他の目的、特徴、効果は、図面と共に説明される本発明の好適な実施の形態の説明から明らかになるであろう。
【0016】
【発明の実施の形態】
図1の剥離およびクラッキングを示す写真のスケッチ図において、低誘電率の誘電体材料を含む絶縁材料よりなる層と、層間の銅金属配線とからなる多層配線構造を支持するシリコンのような基板1を示している。基板1は、無機絶縁材料によって覆われた能動素子を含んでいる。銅線のような金属線3,5は、SiLK(登録商標)のような低誘電率誘電体材料よりなる層によって分離されている。同様に、金属線5,9は、低誘電率誘電体材料よりなる層13で分離されている。同様に、金属線9,11は、低誘電率誘電体材料よりなる層15によって分離されている。層17は、パシベーション層19で覆われた酸化物誘電体材料である。
【0017】
図1にさらに示されるように、熱サイクルによって生じたストレスのような、種々のストレス要因のいずれかによって、一連のクラック21,23,25,27が発生している。低誘電率誘電体材料のCTEは、シリコンおよびその酸化物のCTEとはかなり異なるので、熱サイクルは、界面で異なる膨張速度を生じ、界面にストレスを発生させる。同様に、低誘電率誘電体材料の低弾性率,低靱性,低接着性は、問題を増大させる傾向がある。図1に示されるように、クラック21は、低誘電率絶縁材料7内を延び、クラックの付近の材料を剥離する。絶縁材料の同様の剥離が、クラック23,25,27の付近に生じている。
【0018】
図2は、能動デバイス領域32への、および能動デバイス領域32からのI/O相互接続部の周囲にワイヤボンディングパッド31を用いる半導体チップ29の一部上面図を示している。パッドの外部の周辺領域33に、本発明によるメッシュ状強化相互接続構造35が示されている。図示のように、相互接続構造は、ブリッジされた金属線37を備えており、この金属線は、パッド31の外部のチップ29の周囲に延びている。金属線37は、金属線38によってブリッジされている。この金属線構造は、下側レベルにおいて繰り返されており、種々のレベルは、導電バイアによって相互接続される。ここに示す図面は、スケール通りではなく、単に本発明の理解を容易にするためのものであることに留意すべきである。
【0019】
図2に示す強化相互接続構造35は、実際には、配線パッド31と同じ面上にはなく、デバイスの最上配線レベルおよび下側の各配線レベルにある。寸法的には、これらレベルでの相互接続線は、0.5ミクロンと1.5ミクロンとの間とすることができる。この点に関し、第1配線レベルでの金属配線の寸法は、0.1ミクロン以下というように小さくすることができることに留意すべきである。多層構造が積層されるに従って、金属配線の寸法はやや増大し、例えば4つの金属配線レベルで、寸法は典型的に0.5〜2.0ミクロンとすることができる。本発明の強化相互接続構造は、デバイス金属配線が作製されるときに同時に作製されるので、相互接続構造は、全体的に同じ寸法を有する。
【0020】
図3は、C−4はんだボール接続41のようなフリップチップ接続を用いる半導体チップ39の一部上面図を示している。強化相互接続構造35は、図2に示す構造と同じである。本発明によれば、相互接続構造は、剥離を受けやすいチップの領域に設けることができ、このような領域は、おそらく、能動デバイス構造が少ない領域であろうことがわかる。例えば、図3に示されるように、能動デバイス領域32内の領域43は、下側のレベルに延びる制限された強化相互接続構造を含み、これによって、その領域における剥離を阻止することができる。
【0021】
図4は、図2および図3の上面図にAで示される領域の拡大上面図を示す。図示のように、強化相互接続構造は、2列の金属パッド45を備え、これらパッドは金属線37のセクションによってブリッジされ、隣接パッドは金属線38でブリッジされている。9個の金属充てんバイア47よりなるアレイが、各金属パッドの下側に、各金属パッドに接触して配列されている。各金属充てんバイア47は、その下端で、下側の配線レベルでの同様のパッド構造に接続される。次の下側の配線レベルのパッドは、図4に示されるパッドと同じように、相互接続されている。9個の金属充てんバイア47が示されているが、バイアおよびパッドの上部面積に応じて、金属充てんバイアの数を、容易に異ならせることができることは明らかである。同様に、金属充てんバイア47は、上部が正方形として示されているが、他の形状とすることもできる。典型的には、このパッドレベルで示されるバイアの幅は、約0.5ミクロンであり、正方形パッド45の幅は、約2.5〜4.0ミクロンである。金属線37,38の幅は、0.5〜2.0ミクロンである。
【0022】
したがって、バイアの断面積は、パッドの面積よりもかなり小さいことがわかる。ここに“かなり”とは、例えば、1/5〜1/8小さいことを意味している。大きなパッド面積は、バイアに接続されると、縦方向強度を与え、複数の層を共に機械的に保持するように作用する。しかし、上記した寸法と寸法関係とは、それらが、必要な縦方向および横方向の機械的強度を与えて、剥離およびクラッキングを阻止するのに十分である限りは、重要ではない。
【0023】
2列より多くのパッドを用いることができ、および種々の金属線相互接続パターンを同様に用いることができることは明らかである。例えば、2列の金属パッドを、互いに補って、対角に設けられた金属線によってブリッジできる。本発明のメッシュ状強化構造の必要とされる横方向強化を与えるためには、他の構造も可能である。
【0024】
図5は、図4に示される構造の断面であり、図4に示すラインに沿ったものである。多層構造が、基板51から上方に延びている。保護層53は、基板内の能動デバイス領域を覆っており、典型的には、ホウリンケイ酸ガラス(BPSG)材料を含み、これら2つの層は、前処理(front end of the line:FEOL)での製造プロセスを含む。
【0025】
図5に示されるように、配線レベル55は、BEOL配線レベル統合製造プロセスの第1の配線レベルである。典型的には、酸化物絶縁層57が、配線レベル55上に付着されるが、この絶縁層は、配線レベル55上の低誘電率誘電体絶縁層とすることもできる。その後、酸化物絶縁層上に第2の配線レベル59が付着され、その上に低誘電率誘電体材料61が付着される。層63および67は、それぞれ、第3および第4の配線レベルを有し、これらの上にはそれぞれ低誘電率誘電体層65,69が付着される。最後の金属層71は、図4に示される強化相互接続構造の金属線37,38と金属パッド45とを有している。層73は、最後の二酸化シリコンまたは窒化シリコンのパシベーション層である。
【0026】
図5に示されるように、バイア47は、図2〜図4に示される相互接続金属線37,38および相互接続金属パッド45の上部層から、一方の層を他方の層へ接続して、多数の金属配線層を、第1の金属配線層55に相互接続する。リソグラフィのルールに一致して、金属充てんバイア47および金属配線層は、層が積層されていくに従って、徐々に大きくなることがわかる。多層強化相互接続構造は、図2〜図5に示すように、能動デバイス金属配線から電気的に分離されることを理解すべきである。しかし、多層強化相互接続構造は、能動デバイス構造の一部としても、用いることができる。例えば、バイアのアレイによって1つのレベルから次のレベルへ相互接続された、ブリッジされたラインおよびパッドよりなる3次元メッシュ状構造を、チップ能動領域の周囲のシールド機構として、用いることができる。あるいはまた、メッシュ状構造を、グランドプレーンとして用いることができる。
【0027】
デバイスの完全性のためには、1つの層から他の層への連結部として働く導電バイア47は、最上の配線レベルで始まり、低誘電率有機誘電体材料よりなる介在層の界面接着力を越える界面接着力を示す絶縁材料内に形成された配線レベルで終わらなければならない。したがって、図5に示すように、バイア47は、パッドおよび相互接続金属層71から、BSPG層53に接着される第1配線レベルの金属配線層55へ延びる。
【0028】
現在の半導体デバイス技術において銅配線を用いる必要性によって、低誘電率誘電体材料の使用が必要とされるので、強化相互接続構造は、同様に、銅で作製される。図4に示すように、銅線37,38によってブリッジされた銅パッド45は、強化構造に横方向の機械的完全性を与える。図5に示される銅バイア47は、パッド45と共に、強化構造に縦方向の機械的完全性を与える。しかし、本発明によるメッシュ状強化構造によって与えられる機械的完全性は、異なる種類の金属および異なる種類の絶縁材料を用いることができることを理解すべきである。
【0029】
図6〜図13は、低誘電率誘電体材料を用いて、銅をベースとした半導体デバイスを作製するために用いることのできる1つのプロセスを示す。図6は、下側半導体基板(図示せず)内のデバイス領域を覆う酸化物層48を示す。典型的にタングステンである金属層52は、トランジスタのような下側デバイスに接触する。銅線75を形成する第1のレベルの銅メタライゼーションは、銅充てんバイア77を経て、デバイスのタングステン・コンタクト52に達する。ハードキャップ78上に付着された低誘電率誘電体材料層79は、この銅充てんバイアを取り囲んでいる。この誘電体材料、例えばSiLK(登録商標)は、スピンコートによって設けることができる。ハードキャップ層50が、銅線75および低誘電率誘電体層79上に、下側ハードマスク54を銅線75に対して開口にして、付着される。低誘電率誘電体層81が、ハードキャップ50上にスピンコートされ、ハードマスク層83,85によって順に覆われる。反射防止膜87が、ハードマスク85上に設けられ、フォトレジスト層89によって覆われる。
【0030】
次に、図6の構造は、露光および現像されて、エッチパターンが形成される。その後、エッチパターンをハードマスク85にエッチングして、図7に示すように、開口91を形成する。次に、図8に示すように、他の反射防止膜93が、レジスト層95と共に設けられ、レジスト層95は、露光され現像されて、開口97を形成する。次に、図9に示すように、反射防止層93およびハードマスク83に、開口がエッチングされ、バイアイメージ開口99を形成する。
【0031】
バイアイメージ開口99を形成した後に、図10に示すように、バイア101を低誘電率誘電体層81に部分的にエッチングする。次に、図11に示すように、ハードマスク83をエッチバックして、開口内でハードマスク85と同じ広がりを有するようにする。次に、図12に示すように、低誘電率誘電体層81のエッチングを行う。次に、層81内の開口を洗浄し、銅を充てんして、銅線75および銅充てんバイア77に接続された、銅充てんバイアおよびライン102を形成する。
【0032】
層81内の開口またはトレンチを銅で充てんする前に、金属よりなるライナ(裏打ち)材料を用いることができる。トレンチを銅で充てんすることは、最初にトレンチ・ライナの上部に銅のシード層を設けることにより実現することができる。シード層は、CVDまたはPVDによって付着することができる。次に、トレンチを充てんするためのバルク銅を、シード層上に電気めっきする。次に、オーバめっきされた銅材料を、化学機械研磨(CMP)で除去する。最後に、窒化シリコンのようなキャップ材料103を、銅充てんバイア102およびハードマスク87上に付着する。
【0033】
銅多層強化相互接続構造を形成するプロセスを、BEOL配線レベル統合プロセスと同時に説明した。上述したプロセスは、第2の配線レベルを形成して、第1の配線レベルと統合する方法を示す。同じプロセスを繰り返し用いて、追加の配線レベルを形成し統合する、例えば1〜4、またはそれ以上の配線レベルを形成し統合することができることは明らかである。したがって、各配線レベルでは、銅線によって互いにブリッジされた銅パッドの列であって、隣接列の隣接パッドにブリッジされた銅パッド列を、容易に形成することができる。同様に、各配線レベルにおいて、銅充てんバイアが、下側の銅パッドまで形成される。したがって、このような例では、強化構造の銅充てんバイアは、最上の配線レベルでの強化構造の相互接続ラインおよびパッドから、層を通り抜けて、層をタングステン・コンタクト52に連結することになる。
【0034】
3次元ネットワーク強化構造は、種々の形態をとることができるが、このような形態は、前述したマトリックス構造にリンクして、物理的完全性を与えて、剥離およびクラッキングを機械的に阻止することは明らかである。
【0035】
前述したことから、本発明の好適な実施形態において、種々の変形,変更を、本発明の趣旨から逸脱することなく、行うことができることがわかるであろう。上述した内容は単なる説明のためであり、限定する意味に解釈してはならない。
【0036】
まとめとして、本発明の構成に関して以下の事項を開示する。
(1)半導体デバイスであって、
能動デバイス領域と受動領域とを有し、前記半導体デバイスの最上の配線レベルへ延びる絶縁材料の層によって、多数の配線レベルが分離され、前記多数の配線レベルの少なくとも幾つかが、低誘電率誘電体絶縁材料によって分離されている半導体基板と、
前記受動領域内の前記最上の配線レベルと、低誘電率絶縁材料の層以外の層に付着された前記配線レベルのうちの少なくとも最下の配線レベルに下方に連続する各配線レベルとに形成された導電パッドと、
前記最上の配線レベルの前記導電パッドと、次に下側の配線レベルの前記導電パッドとの間に、および前記配線レベルのうちの前記少なくとも最下の配線レベルに下方に連続する前記各配線レベルの各導電パッド間に、接触しおよび配置された前記導電パッドの面積よりもかなり小さい断面積を有する少なくとも1個の導電バイアと、
を備える半導体デバイス。
(2)前記少なくとも1個の導電バイアは、前記各連続する配線レベル上の前記各パッド間に複数のバイアよりなる、上記(1)に記載の半導体デバイス。
(3)前記導電パッドと導電バイアとは、銅を含む、上記(2)に記載の半導体デバイス。
(4)前記少なくとも最下の配線レベルに連続する各配線レベルに、導電線によって相互接続された複数の導電パッドがあり、前記複数の導電パッドの各々は、前記複数の導電バイアによって、連続する層において相互接続されて、メッシュ状構造を形成する、上記(3)に記載の半導体デバイス。
(5)前記メッシュ状構造は、前記能動デバイス領域の周囲に延びる前記受動領域に設けられている、上記(4)に記載の半導体デバイス。
(6)前記メッシュ状構造は、前記周囲に少なくとも2列の導電パッドを有し、各列の連続する各パッドは、前記列の次の導電パッドに接続され、各列の前記各パッドは、隣接列の最近接パッドに接続されている、上記(5)に記載の半導体デバイス。
(7)前記メッシュ状構造は、前記能動デバイス領域の周囲に、シールド構造を形成する、上記(6)に記載の半導体デバイス。
(8)半導体デバイスであって、
能動デバイス領域と受動領域とを有し、多数の配線レベルが前記半導体デバイスの最上の配線レベルへ延び、前記多数の配線レベルの少なくとも幾つかが、低誘電率誘電体絶縁材料によって分離されている半導体基板と、
前記最上の配線レベルと、低誘電率絶縁材料の層以外の層に付着された前記配線レベルのうちの少なくとも最下の配線レベルに下方に連続する各配線レベルとに形成された複数の導電パッドとを備え、前記複数の導電パッドは、各配線レベルにおいて、導電線によって互いに相互接続されており、
前記最上の配線レベルの前記複数の導電パッドの各々と、次に下側の配線レベルの前記複数の導電パッドの各々との間に、および前記配線レベルのうちの前記少なくとも最下の配線レベルに下方に連続する前記各配線レベルの前記複数の導電パッドの各々の間に、接触しおよび配置された複数の導電バイアを備える半導体デバイス。
(9)各導電パッドと接触する前記複数のバイアの断面積の総和は、前記導電パッドの断面積よりもかなり小さい、上記(8)に記載の半導体デバイス。
(10)前記導電パッドと導電バイアとは、銅を含む、上記(9)に記載の半導体デバイス。
(11)前記最上の配線レベルと、前記少なくとも最下の配線レベルに下方に連続する前記各配線レベルとの前記複数の導電パッドの各々は、各配線レベルにおいて互いに相互接続されて、メッシュ状構造を形成する、上記(10)に記載の半導体デバイス。
(12)前記メッシュ状構造は、前記能動デバイス領域の周囲に延びる前記受動領域に形成されている、上記(11)に記載の半導体デバイス。
(13)前記メッシュ状構造は、前記周囲に延びる少なくとも2列の導電パッドを有し、各列の連続する各パッドは、前記列の次の導電パッドに接続され、各列の前記各パッドは、隣接列の最近接パッドに接続されている、上記(12)に記載の半導体デバイス。
(14)多層配線レベルを有し、前記配線レベルの少なくとも幾つかは、その間に低誘電率誘電体絶縁材料を用いる半導体デバイスの強化構造を作製する方法であって、
半導体基板上に絶縁材料の層を付着する工程と、
第1の配線レベルにおいて、前記絶縁材料の層に、導電線によって相互接続された第1の複数の導電パッドを形成し、同時に第1のレベルの能動デバイス金属配線を形成する工程と、
前記第1の配線レベル上に、低誘電率誘電体絶縁材料の層を形成する工程と、
前記低誘電率誘電体絶縁材料に、複数のグループの導電バイアを形成し、前記複数のグループの各々は、1つのグループの導電バイアが1つの導電パッドに接触するように、前記複数の導電パッドの各々と接触し、同時に能動デバイス導電バイアを形成する工程と、
第2の配線レベルにおいて、導電線によって相互接続された第2の複数の導電パッドを形成し、同時に第2のレベルの能動デバイス金属配線を形成する工程と、
前記工程を繰り返して、低誘電率誘電体材料の連続層に、連続する複数のグループの導電バイアを、最上の配線レベルに連続する配線レベルにおける前記低誘電率誘電体絶縁材料の連続層の連続する複数の導電パッドの各々に接触するように形成し、能動デバイス金属配線の形成の際に、縦方向および横方向の強度を与えるメッシュ状構造を形成する工程と、
を含む方法。
(15)前記導電パッドと導電線と導電バイアとは、銅を含む、上記(14)に記載の方法。
(16)前記メッシュ状構造を、前記半導体デバイスの前記能動デバイス領域の周囲に延びる前記受動領域に形成する、上記(15)に記載の方法。
(17)前記メッシュ状構造は、前記周囲に少なくとも2列の導電パッドを有し、各列の連続する各導電パッドを、前記列の次の導電パッドに接続し、各列の前記各導電パッドを、隣接列の隣接パッドに接続する、上記(16)に記載の方法。
(18)各配線レベルにおいて導電線によって相互接続された複数の導電パッドを形成する前記工程と、複数のグループの導電バイアを、各導電パッドに接触するように形成する前記工程とは、
前記絶縁材料の層に、トレンチおよびバイアを形成する工程と、
前記トレンチおよびバイアを、金属の層で裏打ちする工程と、
前記トレンチおよびバイア内に銅シード材料を付着する工程と、
前記トレンチおよびバイア内に銅を電気めっきする工程と、
前記絶縁材料に対して過剰な銅を化学的/機械的に除去する工程とを含む、上記(17)に記載の方法。
【図面の簡単な説明】
【図1】剥離およびクラッキングを示す写真のスケッチ図である。
【図2】能動デバイス領域へ/からのI/O相互接続部の周囲にワイヤボンディングパッドを用いる半導体チップの一部上面図である。
【図3】フリップチップ接続を用いる半導体チップの一部上面図である。
【図4】図2および図3の上面図にAで示される領域の拡大上面図である。
【図5】図4に示すラインに沿った構造の断面である。
【図6】低誘電率誘電体材料を用いて半導体デバイスを作製するプロセスを示す図である。
【図7】低誘電率誘電体材料を用いて半導体デバイスを作製するプロセスを示す図である。
【図8】低誘電率誘電体材料を用いて半導体デバイスを作製するプロセスを示す図である。
【図9】低誘電率誘電体材料を用いて半導体デバイスを作製するプロセスを示す図である。
【図10】低誘電率誘電体材料を用いて半導体デバイスを作製するプロセスを示す図である。
【図11】低誘電率誘電体材料を用いて半導体デバイスを作製するプロセスを示す図である。
【図12】低誘電率誘電体材料を用いて半導体デバイスを作製するプロセスを示す図である。
【図13】低誘電率誘電体材料を用いて半導体デバイスを作製するプロセスを示す図である。
【符号の説明】
1 基板
3,5,9,11,37,38 金属線
13,15,79,81 低誘電率誘電体材料層
19 パシベーション層
21,23,25,27 クラック
29 チップ
31 パッド
32 能動デバイス領域
33 周辺領域
35 メッシュ状強化相互接続構造
45 金属パッド
47 金属充てんバイア
48 酸化物層
50 ハードキャップ層
52 タングステン・コンタクト
54 下側ハードマスク
75 銅線
77 銅充てんバイア
78 ハードキャップ
83,85 ハードマスク
87,93 反射防止膜
89 フォトレジスト
91 開口
99 バイアイメージ開口
101 バイア
102 銅充てんバイアおよびライン
103 キャップ材料

Claims (7)

  1. 多数の配線レベルを備え、前記多数の配線レベルの少なくとも幾つかが、有機誘電体絶縁材料によって分離されている半導体デバイスにおいて、
    剥離およびクラッキングを阻止するための強化相互接続構造を備えることを特徴とし、該強化相互接続構造は、
    該半導体デバイスの最上配線レベルおよび下側の各配線レベルの、能動デバイス領域の周囲の、該能動デバイスから電気的に分離された領域に備えられ、
    前記能動デバイスの周囲に延びる第1の金属線と、
    前記金属線から所定の距離をおいて外側に前記第1の金属線と略平行に延びる少なくとも1つの第2の金属線と、
    前記第1の金属線と前記第2の金属線との間に所定の間隔で備えられ、前記第1の金属線と前記第2の金属線とを接続して梯子形状にする、複数の金属ブリッジと、
    前記第1の金属線又は前記第2の金属線と、金属ブリッジとの各接続部分に備えられる金属パッドと
    基板に最も近い第1の配線レベルを除く各配線レベルの、前記金属パッドの下側に該金属パッドに接触して配列され、その下端で下の配線レベルにおける金属パッドに接続された金属バイアと、
    を備える、半導体デバイス。
  2. 前記金属バイアが、前記各金属パッド毎に複数個存在する、請求項1に記載の半導体デバイス。
  3. 前記金属バイアが、前記各金属パッド毎に9個存在する、請求項2記載の半導体デバイス。
  4. 前記金属パッドと金属バイアとは、銅を含む、請求項1〜3のいずれか1項に記載の半導体デバイス。
  5. 前記金属パッドが2.5〜4.0ミクロンの幅を有し、前記第1及び第2の金属線が夫々0.5〜2.0ミクロンの幅を有する、請求項1〜4のいずれか1項記載の半導体デバイス。
  6. 前記強化相互接続構造、前記能動デバイス領域の周囲の、シールドとしても作用する、請求項1〜5のいずれか1項に記載の半導体デバイス。
  7. 前記金属バイアの断面積が、該バイアが通る配線レベルが基板に近づくに従って大きい、請求項1〜6のいずれか1項記載の半導体デバイス。
JP2003019203A 2002-02-15 2003-01-28 半導体デバイス Expired - Lifetime JP3954974B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/078174 2002-02-15
US10/078,174 US6650010B2 (en) 2002-02-15 2002-02-15 Unique feature design enabling structural integrity for advanced low K semiconductor chips

Publications (2)

Publication Number Publication Date
JP2003243401A JP2003243401A (ja) 2003-08-29
JP3954974B2 true JP3954974B2 (ja) 2007-08-08

Family

ID=27732791

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003019203A Expired - Lifetime JP3954974B2 (ja) 2002-02-15 2003-01-28 半導体デバイス

Country Status (2)

Country Link
US (2) US6650010B2 (ja)
JP (1) JP3954974B2 (ja)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734090B2 (en) * 2002-02-20 2004-05-11 International Business Machines Corporation Method of making an edge seal for a semiconductor device
JP3813562B2 (ja) * 2002-03-15 2006-08-23 富士通株式会社 半導体装置及びその製造方法
US6734472B2 (en) * 2002-04-25 2004-05-11 Synplicity, Inc. Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device
US7739624B2 (en) * 2002-07-29 2010-06-15 Synopsys, Inc. Methods and apparatuses to generate a shielding mesh for integrated circuit devices
US7943436B2 (en) * 2002-07-29 2011-05-17 Synopsys, Inc. Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
JP3802002B2 (ja) * 2003-03-27 2006-07-26 三星電子株式会社 半導体装置の製造方法
US6831365B1 (en) * 2003-05-30 2004-12-14 Taiwan Semiconductor Manufacturing, Co. Method and pattern for reducing interconnect failures
CN1601735B (zh) * 2003-09-26 2010-06-23 松下电器产业株式会社 半导体器件及其制造方法
JP2005129717A (ja) * 2003-10-23 2005-05-19 Renesas Technology Corp 半導体装置
JP2005142351A (ja) * 2003-11-06 2005-06-02 Nec Electronics Corp 半導体装置およびその製造方法
US7642649B2 (en) * 2003-12-01 2010-01-05 Texas Instruments Incorporated Support structure for low-k dielectrics
US7098544B2 (en) * 2004-01-06 2006-08-29 International Business Machines Corporation Edge seal for integrated circuit chips
JP4913329B2 (ja) * 2004-02-09 2012-04-11 ルネサスエレクトロニクス株式会社 半導体装置
US7202563B2 (en) * 2004-03-25 2007-04-10 Kabushiki Kaisha Toshiba Semiconductor device package having a semiconductor element with resin
US7329600B2 (en) * 2004-04-02 2008-02-12 International Business Machines Corporation Low dielectric semiconductor device and process for fabricating the same
JP4703129B2 (ja) * 2004-05-06 2011-06-15 富士通セミコンダクター株式会社 半導体装置およびその製造方法、設計方法
US7129566B2 (en) * 2004-06-30 2006-10-31 Freescale Semiconductor, Inc. Scribe street structure for backend interconnect semiconductor wafer integration
US20060006538A1 (en) * 2004-07-02 2006-01-12 Lsi Logic Corporation Extreme low-K interconnect structure and method
US7227266B2 (en) 2004-11-09 2007-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure to reduce stress induced voiding effect
DE102004061308B4 (de) * 2004-12-20 2007-05-31 Infineon Technologies Ag Halbleiterbauteil mit Passivierungsschicht
DE102004061307B4 (de) * 2004-12-20 2008-06-26 Infineon Technologies Ag Halbleiterbauteil mit Passivierungsschicht
US7250311B2 (en) * 2005-02-23 2007-07-31 International Business Machines Corporation Wirebond crack sensor for low-k die
JP2006245076A (ja) * 2005-03-01 2006-09-14 Matsushita Electric Ind Co Ltd 半導体装置
US7538433B2 (en) 2005-06-16 2009-05-26 Panasonic Corporation Semiconductor device
JP2006351878A (ja) * 2005-06-16 2006-12-28 Matsushita Electric Ind Co Ltd 半導体装置
US20070108638A1 (en) * 2005-11-16 2007-05-17 International Business Machines Corporation Alignment mark with improved resistance to dicing induced cracking and delamination in the scribe region
JP2007142333A (ja) * 2005-11-22 2007-06-07 Renesas Technology Corp 半導体装置
US7531442B2 (en) * 2005-11-30 2009-05-12 Lsi Corporation Eliminate IMC cracking in post wirebonded dies: macro level stress reduction by modifying dielectric/metal film stack in be layers during Cu/Low-K processing
KR100750192B1 (ko) * 2006-05-04 2007-08-17 삼성전자주식회사 크랙 검사 회로를 갖는 반도체 칩 및 이를 이용한 크랙검사 방법
US7800228B2 (en) * 2006-05-17 2010-09-21 International Business Machines Corporation Reliable via contact interconnect structure
JP5185560B2 (ja) * 2006-05-23 2013-04-17 ルネサスエレクトロニクス株式会社 半導体装置の設計方法
US20080079159A1 (en) * 2006-10-02 2008-04-03 Texas Instruments Incorporated Focused stress relief using reinforcing elements
JP4731456B2 (ja) 2006-12-19 2011-07-27 富士通セミコンダクター株式会社 半導体装置
US8242593B2 (en) * 2008-01-27 2012-08-14 International Business Machines Corporation Clustered stacked vias for reliable electronic substrates
JP5334459B2 (ja) * 2008-05-30 2013-11-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7821104B2 (en) * 2008-08-29 2010-10-26 Freescale Semiconductor, Inc. Package device having crack arrest feature and method of forming
US20100072615A1 (en) * 2008-09-24 2010-03-25 Maxim Integrated Products, Inc. High-Electrical-Current Wafer Level Packaging, High-Electrical-Current WLP Electronic Devices, and Methods of Manufacture Thereof
US8404581B2 (en) * 2009-09-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect of a semiconductor device
US8659170B2 (en) 2010-01-20 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having conductive pads and a method of manufacturing the same
US8859390B2 (en) 2010-02-05 2014-10-14 International Business Machines Corporation Structure and method for making crack stop for 3D integrated circuits
US8946904B2 (en) * 2010-08-27 2015-02-03 Avago Technologies General Ip (Singapore) Pte. Ltd. Substrate vias for heat removal from semiconductor die
WO2012053130A1 (ja) * 2010-10-19 2012-04-26 パナソニック株式会社 半導体装置
US8785248B2 (en) 2011-10-10 2014-07-22 Maxim Integrated Products, Inc. Wafer level packaging using a lead-frame
US8785244B2 (en) 2011-10-10 2014-07-22 Maxim Integrated Products, Inc. Wafer level packaging using a lead-frame
US9461000B2 (en) * 2013-05-21 2016-10-04 Esilicon Corporation Parallel signal via structure
US9293392B2 (en) 2013-09-06 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
KR20160139420A (ko) * 2015-05-27 2016-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9589912B1 (en) 2015-08-27 2017-03-07 Globalfoundries Inc. Integrated circuit structure with crack stop and method of forming same
US9589911B1 (en) 2015-08-27 2017-03-07 Globalfoundries Inc. Integrated circuit structure with metal crack stop and methods of forming same

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59169153A (ja) 1983-03-17 1984-09-25 Toshiba Corp 半導体装置およびその製造方法
JPS62287645A (ja) 1986-06-06 1987-12-14 Nec Corp 半導体集積回路
JPH0539376A (ja) 1991-08-02 1993-02-19 Furukawa Electric Co Ltd:The 導電性高分子複合膜の連続製造方法
JPH05299419A (ja) 1992-04-07 1993-11-12 Nec Corp 樹脂封止型半導体装置
US5248903A (en) * 1992-09-18 1993-09-28 Lsi Logic Corporation Composite bond pads for semiconductor devices
US5563443A (en) 1993-03-13 1996-10-08 Texas Instruments Incorporated Packaged semiconductor device utilizing leadframe attached on a semiconductor chip
JP3025733B2 (ja) 1993-07-22 2000-03-27 三洋電機株式会社 半導体集積回路装置
JP3512225B2 (ja) * 1994-02-28 2004-03-29 株式会社日立製作所 多層配線基板の製造方法
KR0125307B1 (ko) 1994-06-27 1997-12-10 김주용 절연막의 깨짐현상을 방지하기 위한 더미 패턴 형성방법
JPH08213422A (ja) 1995-02-07 1996-08-20 Mitsubishi Electric Corp 半導体装置およびそのボンディングパッド構造
JP2940432B2 (ja) 1995-04-27 1999-08-25 ヤマハ株式会社 半導体装置とその製造方法
JP3510039B2 (ja) 1996-03-15 2004-03-22 株式会社デンソー 半導体装置およびその製造方法
JP3697776B2 (ja) 1996-04-30 2005-09-21 ヤマハ株式会社 半導体装置とその製造方法
JPH1065034A (ja) * 1996-08-21 1998-03-06 Ngk Spark Plug Co Ltd 電子部品用配線基板及び電子部品パッケージ
EP0881668A3 (en) 1997-05-28 2000-11-15 Dow Corning Toray Silicone Company, Ltd. Deposition of an electrically insulating thin film with a low dielectric constant
KR100267105B1 (ko) * 1997-12-09 2000-11-01 윤종용 다층패드를구비한반도체소자및그제조방법
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
JP3121311B2 (ja) 1998-05-26 2000-12-25 日本電気株式会社 多層配線構造及びそれを有する半導体装置並びにそれらの製造方法
US6627539B1 (en) * 1998-05-29 2003-09-30 Newport Fab, Llc Method of forming dual-damascene interconnect structures employing low-k dielectric materials
US6552438B2 (en) 1998-06-24 2003-04-22 Samsung Electronics Co. Integrated circuit bonding pads including conductive layers with arrays of unaligned spaced apart insulating islands therein and methods of forming same
TW430935B (en) * 1999-03-19 2001-04-21 Ind Tech Res Inst Frame type bonding pad structure having a low parasitic capacitance
US6551943B1 (en) * 1999-09-02 2003-04-22 Texas Instruments Incorporated Wet clean of organic silicate glass films
US6180430B1 (en) * 1999-12-13 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Methods to reduce light leakage in LCD-on-silicon devices
US6198170B1 (en) * 1999-12-16 2001-03-06 Conexant Systems, Inc. Bonding pad and support structure and method for their fabrication
JP3467445B2 (ja) * 2000-03-24 2003-11-17 Necエレクトロニクス株式会社 半導体装置およびその製造方法
US6362531B1 (en) * 2000-05-04 2002-03-26 International Business Machines Corporation Recessed bond pad
AU2001296609A1 (en) * 2000-10-03 2002-04-15 Broadcom Corporation High-density metal capacitor using dual-damascene copper interconnect
US6548400B2 (en) * 2001-06-29 2003-04-15 Texas Instruments Incorporated Method of fabricating interlevel connectors using only one photomask step

Also Published As

Publication number Publication date
US20030197280A1 (en) 2003-10-23
US20030155642A1 (en) 2003-08-21
JP2003243401A (ja) 2003-08-29
US6815346B2 (en) 2004-11-09
US6650010B2 (en) 2003-11-18

Similar Documents

Publication Publication Date Title
JP3954974B2 (ja) 半導体デバイス
US7397125B2 (en) Semiconductor device with bonding pad support structure
US7067928B2 (en) Method of forming a bonding pad structure
US8456008B2 (en) Structure and process for the formation of TSVs
US7459792B2 (en) Via layout with via groups placed in interlocked arrangement
TW452930B (en) Top layers of metal for high performance IC's
US6424036B1 (en) Semiconductor device and method for manufacturing the same
US6551856B1 (en) Method for forming copper pad redistribution and device formed
KR101120769B1 (ko) 반도체 장치들에서 솔더 범프 연결들을 개선하기 위한 구조들 및 방법들
EP1609179B1 (en) Internally reinforced bond pads
KR20000011661A (ko) 능동집적회로상의본딩을위한시스템및방법
KR20100121447A (ko) 범프 패드 구조 및 그 제조방법
JP2001267323A (ja) 半導体装置及びその製造方法
KR100370238B1 (ko) 반도체 소자의 본드패드 및 그 형성방법
US20020139572A1 (en) Semiconductor devices and methods for manufacturing the same
US20070114668A1 (en) Semiconductor device
JP5258142B2 (ja) 銅技術相互接続構造を使用する集積回路デバイス用のアルミニウム・パッド電力バスおよび信号ルーティング技術
US20010034119A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
US20110079922A1 (en) Integrated circuit with protective structure, and method of fabricating the integrated circuit
CN100388476C (zh) 具有带加固图形的多层布线布置的半导体器件及生产方法
US20020068385A1 (en) Method for forming anchored bond pads in semiconductor devices and devices formed
US6703286B1 (en) Metal bond pad for low-k inter metal dielectric
US10115649B1 (en) External connection mechanism, semiconductor device, and stacked package
CN1499592A (zh) 连结垫结构及其制造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051101

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20051101

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20051101

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20051101

TRDD Decision of grant or rejection written
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070420

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20070420

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070420

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070427

R150 Certificate of patent or registration of utility model

Ref document number: 3954974

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110511

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110511

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120511

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120511

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130511

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140511

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term