JP2020522618A - 相互接続メタライゼーションにおけるルテニウム層の堆積 - Google Patents

相互接続メタライゼーションにおけるルテニウム層の堆積 Download PDF

Info

Publication number
JP2020522618A
JP2020522618A JP2019567264A JP2019567264A JP2020522618A JP 2020522618 A JP2020522618 A JP 2020522618A JP 2019567264 A JP2019567264 A JP 2019567264A JP 2019567264 A JP2019567264 A JP 2019567264A JP 2020522618 A JP2020522618 A JP 2020522618A
Authority
JP
Japan
Prior art keywords
precursor
ald
reducing agent
oxidant
ruthenium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019567264A
Other languages
English (en)
Inventor
ヨン キム・ド
ヨン キム・ド
ナ・ジョン−ソク
ライ・チウキン・スティーブン
フマユン・ラシーナ
ダネク・ミハル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020522618A publication Critical patent/JP2020522618A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4486Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by producing an aerosol and subsequent evaporation of the droplets or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01044Ruthenium [Ru]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【解決手段】ルテニウム(Ru)フィーチャ充填のための堆積プロセスが提供される。いくつかの実施形態では、プロセスは、還元条件下での薄い保護Ru膜の堆積と、それに続く酸化条件下でのRu充填ステップを含む。無酸素条件下で、または酸素除去動作で形成された保護Ru膜の存在により、下にある接着層または金属フィーチャの酸化なしで、Ruを充填することができる。【選択図】図2

Description

関連出願への相互参照
本出願は、「DEPOSITING RUTHENIUM LAYERS IN INTERCONNECT METALLIZATION」と題され2018年6月4日に出願された米国特許出願第15/996,925号と、「METHOD OF DEPOSITING RUTHENIUM LAYERS IN INTERCONNECT METALLIZATION」と題され2017年6月6日に出願された米国仮特許出願第62/515,894号とに対する優先権を主張し、両方ともその全体がすべての目的のために参照により本明細書に組み込まれている。
本明細書で提供される背景技術の説明は、本開示の文脈を一般的に提示する目的のためのものである。本発明者の成果は、この背景技術のセクションに記載されている限り、出願時に先行技術として認められることはない説明の態様と同様に、明示的にも暗黙的にも、本開示に対する先行技術として認められていない。
半導体製作において、フィーチャは導電性材料で充填されてよい。たとえば、銅は、バックエンドオブライン(BEOL)相互接続に使用される。しかしながら、銅相互接続は、10nm未満の技術ノードでの製作が困難である。銅相互接続の堆積には、多くの場合、最初にバリア層を堆積して、銅相互接続と基板層からの元素の相互拡散を防ぐことを含む。しかしながら、厚さが2.5nm未満にスケーリングされたときに完全性を維持するバリア材料は確認されていない。(5nm技術ノードで)線幅を10nmにスケーリングすると、バリアは線幅の5nmと、線断面の50%以上を消費し、各技術ノードが10nmを超えると、抵抗が指数関数的に増加する。さらに、銅は、約39nmの電子平均自由行程を有する。その結果、小さな限界寸法のフィーチャでは、電子が側壁に当たり、その結果、弾性衝突が少なくなる。
本開示の一態様は、フィーチャを含む基板を受け取ることと、フィーチャにルテニウム(Ru)ライナ層を堆積するために、多数の原子層堆積(ALD)サイクルを実行することであって、ALDサイクルのおのおのは、還元剤の添加を含む、実行することと、Ruライナ層を堆積した後、第1のルテニウム前駆体を酸化剤と反応させることにより、フィーチャを少なくとも部分的にルテニウムで充填することとを含む方法に関する。
いくつかの実施形態では、多数のALDサイクルのおのおのは、第2のルテニウム前駆体を還元剤と反応させることを含み、第2のルテニウム前駆体は、第1のルテニウム前駆体とは異なる。
いくつかの実施形態では、多数のALDサイクルのおのおのは、第1のルテニウム前駆体を還元剤と反応させることを含む。いくつかのこのような実施形態では、第1のルテニウム前駆体は、非ゼロ酸化状態でRu中心を有する。
様々な実施形態によれば、ALDサイクルは、サーマルALDサイクルまたはプラズマエンハンストALD(PEALD)サイクルであってよい。いくつかの実施形態では、還元剤は、H2またはNH3、またはH2またはNH3から生成されたプラズマ種である。
いくつかの実施形態では、酸化剤はO2、O3、またはH2Oである。いくつかの実施形態では、多数のALDサイクルのおのおのは、第1のルテニウム前駆体を酸化剤と反応させることを含み、これによって、Ruライナ層を堆積するために、および、その後の充填動作において、同じRu前駆体が使用される。
いくつかの実施形態では、還元剤の添加は、Ruライナ層または下にある金属層に取り込まれた酸素を除去する。
いくつかの実施形態では、フィーチャはRuで完全に充填される。いくつかの実施形態では、フィーチャは、Cu、W、Co、Mo、Ni、およびAlから選択される金属で完全に充填される。
いくつかの実施形態では、多数のALDサイクルのおのおのは、ルテニウム前駆体の添加とそれに続く酸化剤の添加を含む。いくつかのこのような実施形態では、ルテニウム前駆体添加および酸化剤添加は非プラズマ添加である。多数のALDサイクルはさらに、酸化剤添加後の還元剤プラズマ添加を含んでよい。いくつかのこのような実施形態では、酸化剤添加と還元剤プラズマ添加との間にパージはない。いくつかの実施形態では、酸化剤添加は、酸化剤と還元剤の混合である。
いくつかの実施形態では、Ruライナ層の厚さは2nm以下である。
いくつかの実施形態では、フィーチャは、Ruライナが堆積された第1のライナ層を含む。ライナ層は、たとえば、バリア層または接着層であってよい。特定の例において、Ruライナ層は、窒化タングステン炭素(WCN)、窒化チタン(TiN)、窒化タングステン(WN)、炭化タングステン(WC)、および窒化タンタル(TaN)から選択されるライナ層上に堆積されてよい。
本開示の別の態様は、おのおのが基板を保持するように構成された1または複数のプロセスチャンバと、ルテニウム(Ru)前駆体源、酸化剤ガス源、および還元剤ガス源に結合するための1つまたは複数のプロセスガス注入口と、多数のRuライナ堆積サイクルを実行するための機械可読命令を備えた装置内の動作を制御するためのコントローラとを含み、各Ruライナ堆積サイクルのための命令は、1つまたは複数のプロセスガス注入口を介して、1つまたは複数のプロセスチャンバにRu前駆体添加物を注入するための命令と、Ru前駆体を注入した後、1つまたは複数のプロセスガス注入口を介して1つまたは複数のプロセスチャンバに酸化剤ガス添加物を注入するための命令と、酸化剤ガスパルスを注入した後、1つまたは複数のプロセスガス注入口を介して、1つまたは複数のプロセスチャンバに還元剤ガス添加物を注入するための命令とを含む、装置に関する。
いくつかの実施形態では、コントローラはさらに、多数のRuライナ堆積サイクルの後、第2のRu前駆体および酸化剤を1つまたは複数のプロセスチャンバに注入するための命令を備えた、Ru充填プロセスを実行するための機械可読命令を含む。
いくつかの実施形態では、装置は、プラズマ発生器を含み、各Ruライナ堆積サイクルのための命令は、還元剤ガスからプラズマを発生させることを含む。
これらおよび他の態様は、図面を参照して以下でさらに説明される。
特定の実施形態に従う、ルテニウムによるフィーチャ充填の例を示す図である。
特定の実施形態に従って、フィーチャにルテニウムを堆積させる特定の動作を示すプロセスフロー図の例を示す図である。
特定の実施形態に従って、還元化学現象を使用したRuライナ層の堆積後の未充填フィーチャの概略例を示す図である。
特定の実施形態に従う、Ruライナ層を含む充填フィーチャの概略例を示す図である。
特定の実施形態に従って、図2のブロック203および205を実行するために使用されてよい方法の例を示す図である。 特定の実施形態に従って、図2のブロック203および205を実行するために使用されてよい方法の例を示す図である。 特定の実施形態に従って、図2のブロック203および205を実行するために使用されてよい方法の例を示す図である。 特定の実施形態に従って、図2のブロック203および205を実行するために使用されてよい方法の例を示す図である。
特定の実施形態に従ってRuライナ層を堆積するALDプロセスのプロセスフローの例を示す図である。 特定の実施形態に従ってRuライナ層を堆積するALDプロセスのプロセスフローの例を示す図である。 特定の実施形態に従ってRuライナ層を堆積するALDプロセスのプロセスフローの例を示す図である。
特定の実施形態に従って本明細書に記載の方法を実施するために使用されてよい装置の概略例を示す図である。 特定の実施形態に従って本明細書に記載の方法を実施するために使用されてよい装置の概略例を示す図である。
ルテニウム(Ru)膜を形成する方法が提供される。Ru膜は、ライナ層およびフィーチャ充填として、ビアおよびトレンチなどの半導体基板フィーチャに堆積されてよい。用途は、10nm未満のノードのミドルオブライン(MOL)およびバックエンドオブライン(BEOL)ロジック相互接続を含む。一例では、これら方法は、ソース/ドレインコンタクト充填のために使用されてよい。ルテニウムは、非弾性平均自由行程が短く、銅などの材料よりも10nm未満の寸法で抵抗をスケーリングすることができる。さらに、いくつかの実施形態では、拡散バリア層なしで実施することができ、これにより、高導電性材料のより大きな断面が得られる。
還元条件下での薄い保護Ru膜の堆積、それに続く酸化条件下でのRu充填ステップを含む堆積プロセスが提供される。無酸素条件下で、または酸素除去動作を用いて形成された保護Ru膜の存在により、下にある接着層と金属基板の酸化なしでRuを充填することができる。保護Ru層はバリア層として作用することもでき、後続のRu充填ステップで、酸素が、下にある金属層に浸透するのを防ぐ。還元条件下で堆積されたRu保護膜は、Ru相互接続の全体的な利点が、第1のステップで被る潜在的な不純物によって損なわれないように、十分に薄い(たとえば、1〜2nm)。
様々な実施形態によれば、保護Ru層は、第1が、酸化還元化学現象の循環を含み、第2が、酸化化学現象のない還元化学現象のみを含む、2つの実施形態のうちの1つによって形成されてよい。前者のアプローチでは、酸化条件下でのRu堆積と、還元ガス(H2またはNH3など)を用いた熱またはプラズマ処理とを循環させることによって、Ru膜を形成する。酸化ガス反応物を使用したRu膜の堆積により、高純度のRu膜が得られる。しかしながら、このステップでは、酸化反応物が十分に制御されていない場合、下にある金属層を酸化し、酸素が下層に浸透する可能性がある。後続する還元ガスによる熱またはプラズマ処理は、下にある酸化された金属層の、無酸素組成物への変換を促進する。保護Ru膜のこれら成長サイクルは、各サイクルの終わりに酸化界面を形成することなく、高純度のRu膜を保証する。
別の実施形態では、保護Ru層の形成は、熱またはプラズマ堆積条件下での還元ガス(たとえば、H2またはNH3)によるRu前駆体の還元を伴う。この方法により、保護層の準備が、以前のサイクリックなアプローチよりも簡単になる。しかしながら、これは、ハイブリッド堆積ステップにおいて、1つは保護Ru層の堆積用であり、もう1つは後続のフィーチャ充填用である、2つの異なる前駆体化学現象の使用を伴ってよい。
これら方法を使用したフィーチャ充填は、図1〜図4Gを参照して以下でさらに説明される。図1は、特定の実施形態に従う、ルテニウムによるフィーチャ充填の例を示す図である。未充填フィーチャ6は、誘電性材料10に形成される。誘電性材料の例は、オルトケイ酸テトラエチル(TEOS)または他のアルコキシドからの酸化物、フルオロケイ酸塩ガラス(FSG)、流動性酸化物、スピンオングラス、炭素ドープ酸化物、窒化物、および酸窒化物を含む。いくつかの実施形態では、誘電性材料は、シリコンベースの酸化物、窒化物、または酸窒化物であってよい。図1の例では、未充填フィーチャが、導電性材料4を覆っている。導電性材料4は、タングステン(W)、コバルト(Co)、およびルテニウム(Ru)を含む例を伴う任意の適切な材料であってよい。ライナ層12は、未充填フィーチャ6を覆い隠し、接着特性および拡散バリア特性の一方または両方を提供してよい。ライナ層12の材料の例は、窒化タングステン炭素(WCN)、窒化チタン(TiN)、窒化タングステン(WN)、炭化タングステン(WC)、および窒化タンタル(TaN)を含む。未充填フィーチャ6は、Ru相互接続8を形成するために、ルテニウムで充填されてよい。様々な実施形態に従ってルテニウムを堆積する方法は、図2および図4A〜図4Gを参照して以下でさらに説明される。
図2は、フィーチャ内にルテニウムを堆積させる際の特定の動作を示すプロセスフロー図である。方法200は、ルテニウムが堆積されるフィーチャを含む基板を受け取ることで開始してよい。ブロック201。このようなフィーチャの例が図1に示される。いくつかの実施形態では、フィーチャは、露出された誘電体側壁表面、および露出された導電性表面を含んでよい。いくつかの実施形態では、フィーチャは、接着層またはバリア層などの1つまたは複数の以前に堆積されたライナ層を含んでよい。このようなライナ層は、フィーチャに共形的または非共形的に堆積されてよい。いくつかの実施形態では、フィーチャは、以前に堆積されたライナ層を含まなくてもよい。ルテニウムで充填されるフィーチャは、ソース/ドレイン(S/D)接続、MOL構造、またはBEOL構造を含む、部分的に製作された半導体デバイスの任意の適切な部分であってよい。
次に、フィーチャにRuライナ層が堆積される。ブロック203。これは、原子層堆積(ALD)法によって実行される。これは、サーマル原子層堆積(tALD)法またはプラズマエンハンスト原子層堆積(PEALD)法であってよい。ALDは、ルテニウム前駆体および反応物の添加が、堆積チャンバに連続的に導入されてよい表面媒介堆積技術である。PEALD法では、1つまたは複数の添加中にプラズマが点火される。多くの実施形態では、反応物の添加中にプラズマが点火される。膜を堆積するために、多数の連続した添加からなるALDサイクルが使用される。
一般に、ALDサイクルは、表面堆積反応を1回実行するために使用される最小動作のセットである。1サイクルの結果、基板表面上に少なくとも部分的にRu含有膜層が生成される。通常、ALDサイクルは、少なくとも1つの反応物を基板表面に供給し、吸着させ、その後、吸着した反応物を、1つまたは複数の反応物と反応させて、膜の部分層を形成する動作を含む。サイクルは、反応物または副産物のうちの1つを掃き取る、および/または、堆積された部分膜を処理するなどの特定の補助的な動作を含んでよい。サイクルは、ユニークな動作シーケンスの1つのインスタンスを含む。例として、ALDサイクルは、以下の動作、(i)Ru含有前駆体の供給/吸着、(ii)チャンバからのRu含有前駆体のパージ、(iii)プラズマを伴うまたは伴わない第2の反応物の供給、および(iv)プラズマを伴うまたは伴わない反応物のチャンバからのパージ、を含んでよい。別の例示的なALDサイクルでは、(i)Ru含有前駆体の供給/吸着、(ii)チャンバからのRu含有前駆体のパージ、(iii)酸化剤の供給/吸着または反応、(iv)還元剤の供給および反応、および(iv)チャンバからの酸化剤、還元剤、および/または副産物のパージ、が存在してよい。さらなる例が、図4A〜図4Gに関して以下に説明される。
図2に戻って示すように、ブロック203は、Ru堆積サイクルにおける反応物として、または堆積サイクルにおける処理として、Ruライナを堆積するための還元化学現象を含む。上記のように、Ruライナ層は、後続の動作において、下にある金属層の酸化を防ぐ保護層である。動作203中に適用されてよいALD添加シーケンスの例は、Ru前駆体→還元剤、Ru前駆体→酸化剤→還元剤、Ru前駆体→還元剤と混合された酸化剤、酸化剤→Ru前駆体→還元剤、還元剤と混合された酸化剤→Ru前駆体等を含む。「→」は、2つの添加のシーケンスを示す。様々な実施形態によれば、任意の2つの添加の間にパージがあってもなくてもよい。
図3Aは、還元化学現象を使用したRuライナ層13の堆積後の未充填フィーチャ6の例である。還元化学現象を使用することにより、下にあるライナ層12および下にある導電性材料4の酸化が防止される。Ruライナ層の厚さは、たとえば1〜2nmであってよい。
Ruライナ層が堆積されると、酸化化学現象を使用してフィーチャが充填される。ブロック205。これは、ALDまたはCVD(化学蒸着)法を含んでよい。いくつかの用途では、Ru充填は、特に大きなフィーチャ(たとえば、大きな寸法のデュアルダマシンフィーチャ)のために、電気化学めっき(ECP)または無電解めっき法によって達成されてよい。Ruライナが存在するため、動作205は、還元化学現象を使用することなく進んでよい。図3Bは、Ru相互接続8を伴う充填後の図3Aにおけるフィーチャを例示する。
図4A〜図4Dは、ブロック203および205を実行するために使用されてよい方法の例を示す。図4Aに示す方法では、ライナ層は、Ru前駆体添加/酸化剤添加/還元剤添加からなるmサイクルを適用するALD法で堆積され、ここで、「/」は、Arなどの不活性ガスを用いたパージステップを表す。ALDサイクルにおけるRu前駆体添加、酸化剤添加、および還元剤添加のシーケンスは変更されてよく、上記の特定の例に限定されない。
図示された実施形態では、Ru前駆体添加が最初であり、その後、酸化剤添加が続く。しかしながら、ALDサイクルは、たとえば、酸化剤添加から開始してもよい。Ru前駆体添加/酸化剤添加からなるサイクルが後続するO2処理からの堆積メカニズムの例は、N.LeickらによるChem.Mater.,2012年、24(19)、3696〜3700頁に記載されている。最初に、ALDサイクルを開始するために、O2が表面に吸着される。その後、適切なRu前駆体のパルスが使用され、表面に吸着した酸素との配位子燃焼反応により、ルテニウムの単分子層を形成する。O2パルスにより、残りの炭素種が燃焼する。これは、可能性のある酸化ALD Ru堆積の例であり、他のメカニズムおよび/または酸化化学現象も可能である。Ru/O2/H2シーケンスの例は、J.LuらによるChem.Mater.,2015年、27、4950〜4956に見い出されてよい。
ルテニウムの酸化堆積のメカニズムに関わらず、後続するH2添加で提供される水素は、構造内に残っている酸素と反応し、H2Oを形成し、これは真空へ移動される。次のサイクルは、表面を処理し、次のRu前駆体添加のためにO2を準備するために、O2を添加することで開始する。H2は、熱またはプラズマ添加であってよい。いくつかの実施形態では、H2添加はいくつかのサイクルで省略されてもよく、たとえば、1つおきのサイクル、または3つおきのサイクルで実行されてもよい。
上述のように、ALDサイクルにおけるRu前駆体添加、酸化剤添加、および還元剤添加のシーケンスは変更されてよく、上記の特定の例に限定されない。図4AにおけるALDサイクルは、酸化堆積メカニズムと、それに続く除去のための還元剤と残りの酸化剤との反応として特徴付けられてよい。
Ruライナ層が堆積されると、いくつかの実施形態では、Ru前駆体添加と酸化剤添加とからなるnサイクルが実行され、ALDプロセスにおいてフィーチャを充填する。他の実施形態において、Ru充填は、Ru前駆体およびO2または他の酸化剤が表面上に同時に搬送されてRu膜を堆積し、その後フィーチャを充填するCVD法によって実行される。同じまたは異なるRu前駆体が、RuライナとRu充填との両方のために使用されてよい。いくつかの実施形態では、ALD法およびCVD法によるRu充填の後に、ECPおよび無電解めっきなどのRuめっきプロセスが続き、他の大きなフィーチャを充填する。
図4Bの方法において、ALD法は、Ru前駆体が酸化されるのではなくむしろ還元される、Ru前駆体/還元剤からなるmサイクルを適用する。Ruライナ層が堆積されると、いくつかの実施形態では、第2のRu前駆体およびO2からなるnサイクルが実行され、フィーチャを充填する。他の実施形態では、Ru充填は、第2のRu前駆体およびO2または他の酸化剤が表面上に同時に搬送されてRu膜を堆積し、その後フィーチャを充填するCVD法によって実行される。この方法では、図2のブロック203および205のために、異なるRu前駆体を使用することが有利であってよい。以下で説明するように、Ru前駆体の選択は、Ruライナの堆積反応が酸化反応か還元反応かによって異なってよい。特定の前駆体は、還元反応と酸化反応の両方に使用されてよいので、図4Bの両方の動作のために使用されてよい。
図4Cの方法では、Ru前駆体添加/酸化剤添加−還元剤添加からなるmサイクルを適用するALD法でライナ層が堆積され、「/」は、Arなどの不活性ガスによるパージステップを表し、「−」は、パージステップのないシーケンスを表す。図4Cの方法は、酸化剤と還元剤との間にパージがないことを除いて、図4Aの特定の実施形態の方法と同様である。Ruライナ層が堆積されると、いくつかの実施形態では、Ru前駆体添加および酸化剤添加からなるnサイクルが実行されて、ALDプロセスにおいてフィーチャを充填する。
図4Dの方法において、ライナ層は、Ru前駆体添加/酸化剤−還元剤混合物添加からなるmサイクルを適用するALD法で堆積される。Ruライナ層が堆積されると、いくつかの実施形態では、Ru前駆体添加および酸化剤添加からなるnサイクルが実行されて、ALDプロセスにおいてフィーチャを充填する。
本明細書に記載の方法で適用されるRu前駆体は、有機金属化合物である。適用される特定のRu前駆体は、Ruライナ堆積反応が酸化反応(図4A、図4C、および図4D)か還元反応(図4B)かに依存してよい。反応物は、反応のタイプにも依存する。酸化反応には、例として、二原子酸素(O2)、オゾン(O3)、および水(H2O)とともに、酸素含有反応物が使用される。還元反応の場合、H2またはNH3が、還元剤の例である(還元薬品とも呼ばれる)。
酸化状態に関わらず、ほとんどの有機金属Ru前駆体は、O2と熱的に容易に反応して、純粋なRu膜を与える。酸化反応に使用してよいRu前駆体の例は、(エチルベンジル)(1−エチル−1,4−シクロヘキサジエニル)Ru(0)、(1−イソプロピル−4−メチルベンジル)(1,3−シクロヘキサジエニル)Ru(0)、2,3−ジメチル−1,3−ブタジエニル)Ru(0)トリカルボニル、(1,3−シクロヘキサジエニル)Ru(0)トリカルボニル、および(シクロペンタジエニル)(エチル)Ru(II)ジカルボニルを含むが、Ru前駆体の選択は、これらクラスの化合物に限定されない。
非酸化反応物と反応するRu前駆体は、典型的には+2の正の酸化状態(すなわち、Ru(II)前駆体とも呼ばれるRu(2+)前駆体)を有してよい。+1および+3の非ゼロの酸化状態を有するRu中心を有する前駆体も使用されてよい。非酸化反応物と反応するRu前駆体の例は、ビス(5−メチル−2,4−ヘキサンジケトナト)Ru(II)ジカルボニル、およびビス(エチルシクロペンタジエニル)Ru(II)であるが、Ru前駆体の選択は、これらクラスの化合物に限定されない。特に、ビス(エチルシクロペンタジエニル)Ru(II)はPEALD反応でNH3と反応する。
特に、(1−イソプロピル−4−メチルベンジル)(1,3−シクロヘキサジエニル)Ru(0)は、還元反応(NH3とのPEALD)においてのみならず、O2とのtALD反応においても使用されてよい。このようなものとして、前駆体を還元反応で使用して、Ruライナ層を形成し、続いて充填のための酸化反応を行うことができる。
適用されてよいRu(0)およびRu(II)前駆体のさらなる例は、以下の表1に示される。
Figure 2020522618
・アレーンは中性配位配位子であり、その元素組成はC6xyであり、xは0,1,2,3,4,5,または6であり、ここで、yは0,1,2,3,4,5,または6であり、各Rは、他のRとは独立して、水素、アルキル、ハロアルキル、アリール、ヘテロアリール、トリアルキルシリル、アルキニル、およびアミドからなる群から独立して選択される官能基である。
・ジエンは、分子構造に2つの炭素−炭素二重結合を有する中性配位配位子であり、Ru中心に、2つの二重炭素−炭素二重結合され、ジエン骨格は、水素、アルキル、ハロアルキル、アリール、ヘテロアリール、トリアルキルシリル、アルキニル、およびアミドからなる群から独立して選択される官能基を有する。
・トリエンは分子構造内に3つの炭素−炭素二重結合を有する中性配位配位子であり、Ru中心に、2つの二重炭素−炭素二重結合され、トリエン骨格は、水素、アルキル、ハロアルキル、アリール、ヘテロアリール、トリアルキルシリル、アルキニル、およびアミドからなる群から独立して選択される官能基を有する。
・シクロペンタジエニルは、分子構造に、閉鎖5員炭素環を有するモノアニオン配位子であり、シクロペンタジエニル骨格は、水素、アルキル、ハロアルキル、アリール、ヘテロアリール、トリアルキルシリル、アルキニル、およびアミドからなる群から独立して選択される官能基を有する。
・ペンタジエニルは、分子構造に非閉鎖直鎖5員炭素鎖を有するモノアニオン配位子であり、ペンタジエニル骨格は、水素、アルキル、ハロアルキル、アリール、ヘテロアリール、トリアルキルシリル、アルキニル、およびアミドからなる群から独立して選択される官能基を有する。
・ジケトネートはモノアニオン配位子であり、その元素組成はR1COCHCOR2であり、R1およびR2は、水素、アルキル、ハロアルキル、アリール、ヘテロアリール、トリアルキルシリル、およびアルキニルから独立して選択される官能基である。
・アミジネートはモノアニオン配位子であり、その元素組成はR1NCR2NR3であり、R1、R2、およびR3は、水素、アルキル、ハロアルキル、アリール、ヘテロアリール、トリアルキルシリル、およびアルキニルから独立して選択される官能基である。
いくつかの実施形態では、酸化剤共反応物を使用して、Ruシード層がRuライナ層上に堆積されてよい。たとえば、次のシーケンスのうちの1つが、フィーチャ充填のために適用されてよい。
シーケンス1:
基板を事前洗浄する
WCNまたは他の接着層を堆積させる
非酸化反応物を使用したRuライナ(たとえば、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム(II))のサーマルALD
酸化反応物を使用したRuシード(たとえば、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム(II))のサーマルALD
酸化反応物を使用したRu(たとえば、(エチルベンジル)(1−エチル−1,4−シクロヘキサジエニル)Ru(0))のCVD
シーケンス2:
基板を事前洗浄する
WCNまたは他の接着層を堆積させる
非酸化反応物を使用したRuライナ(たとえば、(1−イソプロピル−4−メチルベンジル)(1,3−シクロヘキサジエニル)Ru(0))のPEALD
酸化反応物を使用したRuシード(たとえば、(1−イソプロピル−4−メチルベンジル)(1,3−シクロヘキサジエニル)Ru(0))のサーマルALD
酸化を使用したRu(たとえば、(1−イソプロピル−4−メチルベンジル)(1,3−シクロヘキサジエニル)Ru(0))のCVD
いくつかの実施形態では、Ruライナ層は、ギャップ充填の前に追加の層を堆積することなく、シード層として機能する。いくつかの実施形態では、様々なサイズのフィーチャにルテニウムを堆積させるために、この方法が使用されてよい。このような場合、ビア、トレンチ、または他のフィーチャのすべてが、ALDまたはCVDによってルテニウムで充填されてよい。いくつかの他の実施形態では、Ru充填は、小さなビアおよびトレンチのみの完全充填のために使用されてよく、より小さなフィーチャALDのRu充填またはCVD充填の後に、大きなフィーチャが部分的に充填される。これらのより大きなフィーチャは、ルテニウム以外の異なる金属で充填されてもよく、ALDおよびCVD以外の非蒸着法、または蒸着法で充填されてもよい。たとえば、大きなフィーチャは、異なる方法および/または金属を使用した保護Ru層堆積−Ru部分充填−完全充填によって充填されてよい。最終的な完全充填のための方法は、ALD、CVD、電気化学めっき、および無電解めっきとすることができる。最終的な完全充填のための材料は、Ru、銅(Cu)、タングステン(W)、コバルト(Co)、モリブデン(Mo)、ニッケル(Ni)、およびアルミニウム(Al)とすることができる。
上述のように、Ruライナ層の堆積は、酸化化学現象(還元動作を伴う)または非酸化化学現象を使用して進んでよい。また、PEALDまたはサーマルALDを使用して実行してよい。ALDまたはPEALDおよび酸化または還元の化学現象を使用する際に考慮すべき要因は、下にある膜の界面の酸素含有量、スループット、およびステップカバレッジを含む。いくつかの実施形態では、(たとえば、図4Bにおけるように)還元化学現象を使用する場合、還元化学現象が遅いサーマルALDでのスループットを改善するために、PEALDが適用される。さらに、(図4A、図4C、および図4Dにおけるように)酸化化学現象後の還元動作は、プラズマを有利に使用してよい。しかしながら、他の実施形態では、還元動作は、ステップカバレッジを改善するために熱的であってよい。(たとえば、図4A、図4C、および図4Dにおけるように)酸化動作を使用する場合、基板は、熱的な非プラズマ動作で酸化剤に露出されてよい。これは、界面における酸素含有量を減少させ、ステップカバレッジを改善する。図4Bにおけるように還元化学現象は、酸化を最小限に抑えるのに有用であってよいが、いくつかの実施形態では、スループットおよび/またはステップカバレッジが不十分であってもよい。したがって、図4A、図4C、または図4Dに示すプロセスの1つは、酸素含有量、スループット、およびステップカバレッジのバランスをとるために使用されてよい。
図4E〜図4Gは、様々な実施形態に従ってRuライナ層を堆積するALDプロセスの例を提供する。最初に、図4Eに戻って、還元化学現象を使用してRuライナを堆積するPEALD法の例が示される。方法400は、基板をRu前駆体に露出させることで開始する。(401)様々な実施形態によれば、Ru前駆体は、上記のRu(II)前駆体であってよい。あるいは、(1−イソプロピル−4−メチルベンジル)(1,3−シクロヘキサジエニル)Ru(0)などの還元剤と反応するRu(0)前駆体が適用されてよい。動作401中の例示的な基板温度は、150℃から450℃の範囲であり、いくつかの実施形態では、200℃から400℃の範囲である。例示的なチャンバ圧力は、0.1トールから10トールの範囲であり、いくつかの実施形態では、0.5トールから5トールの範囲である。Ru前駆体は、アルゴン(Ar)などのキャリアガスを使用して、たとえば25sccmから1000sccmの、いくつかの実施形態では100sccmから500sccmのキャリアガス流量で供給されてよい。例示的な前駆体の添加時間は、0.5秒から20秒の範囲、いくつかの実施形態では、2秒から7秒の範囲であってよい。添加時間、チャンバ、圧力、キャリアガス流量、Ru濃度、および温度はすべて、1つまたは複数のフィーチャを十分なRu前駆体に露出させ、吸着した飽和層または準飽和層を形成し、および/または共反応物と反応させるために、適切に変更されてよいことが理解される。その後、この方法は、オプションのパージを続行してよい。(403)。パージ中、蒸気相に残っているRu前駆体を除去するために、Arなどの不活性ガスがチャンバに導入されてよい。実行される場合の例示的なパージ時間は、0.1秒から10秒であり、いくつかの実施形態では、0.5秒から5秒である。その後、基板が、還元剤プラズマに露出される。(405)。プラズマは、水素(H2)やアンモニア(NH3)などの還元剤化合物を含むプロセスガスから生成されてよい。ヒドラジン(N22)などの他の化合物が使用されてよい。還元剤の選択は、いくつかの実施形態で適用されるRu前駆体に依存してよい。動作401を参照して説明されたキャリアガスおよび流量は、プロセス全体にわたって適用されてよい。いくつかの実施形態では、プラズマを生成するためにRF発生器が使用されてよい。例示的なRF電力は、50Wから700Wの間であり、いくつかの実施形態では、200Wから550Wの間である。例示的な添加時間は20秒未満であり、いくつかの実施形態では、1秒から7秒の間である。オプションのパージが実行されてよい。(407)。実行される場合の例示的なパージ時間は、0.1秒から10秒であり、いくつかの実施形態では、0.5秒から5秒である。動作401〜407は、その後、所望の厚さが達成されるまで繰り返されてよい。(409)。
図4Fでは、酸化化学現象を使用してRuライナを堆積させるALD法の例が示されている。方法410は、基板をRu前駆体に露出させることで開始する。(411)様々な実施形態によれば、Ru前駆体は上記のRu(0)前駆体であってもよいが、いくつかの実施形態ではRu(II)前駆体が使用されてもよい。動作411中の例示的な基板温度は、150℃から450℃の範囲であり、いくつかの実施形態では200℃から400℃の範囲である。例示的なチャンバ圧力は、0.1トールから10トールの範囲であり、いくつかの実施形態では、0.5トールから5トールの範囲である。Ru前駆体は、アルゴン(Ar)などのキャリアガスを使用して、たとえば25sccmから1000sccm、いくつかの実施形態では100sccmから500sccmのキャリアガス流量で供給されてよい。キャリアガスは、プロセス全体で使用されてよい。例示的な前駆体の添加時間は、0.5秒から20秒の範囲であってよく、いくつかの実施形態では、2秒から15秒の範囲であってよい。添加時間、チャンバ、圧力、キャリアガス流量、Ru濃度、および温度はすべて、1つまたは複数のフィーチャを十分なRu前駆体に露出させ、吸着した飽和層または準飽和層を形成し、および/または共反応物と反応させるために、適切に変更されてよいことが理解される。その後、方法は、オプションのパージを続行してよい。(413)。パージ中、Arなどの不活性ガスをチャンバに導入して、蒸気相に残っているRu前駆体を除去してよい。実行される場合の例示的なパージ時間は、0.1秒から10秒であり、いくつかの実施形態では、0.5秒から5秒である。
その後、基板は酸化剤に露出される。(415)。図4Fの例では、酸化剤は、熱(非プラズマ)に露出される。酸化剤の例は、O2、水H2O、および二酸化炭素(CO2)を含む。酸化剤の選択は、いくつかの実施形態で適用されるRu前駆体に依存してよい。動作411を参照して説明したようなキャリアガスおよび流量が適用されてよい。例示的な添加時間は、20秒未満であり、いくつかの実施形態では、0.2秒から5秒の間である。オプションのパージが実行されてよい。(417)。実行される場合の例示的なパージ時間は、0.1秒から10秒であり、いくつかの実施形態では、0.5秒から5秒である。その後、基板は還元剤プラズマに露出される(419)。プラズマは、水素(H2)またはアンモニア(NH3)などの還元剤化合物を含むプロセスガスから生成されてよい。ヒドラジン(N22)などの他の化合物が使用されてよい。上記のようなキャリアガスおよび流量が適用されてよい。いくつかの実施形態では、プラズマを生成するために、RF発生器が使用されてよい。例示的なRF電力は、50Wから700Wの間であり、いくつかの実施形態では、200Wから550Wの間である。例示的な添加時間は20秒未満であり、いくつかの実施形態では0.2秒から5秒の間である。オプションのパージが実行されてよい。(421)。実行される場合の例示的なパージ時間は、0.1秒から10秒であり、いくつかの実施形態では、0.1秒から5秒である。その後、動作411〜421は、所望の厚さが達成されるまで繰り返されてよい。(423)。以下でさらに説明するように、パージ動作は、一般に、Ru前駆体と後続の反応物との間で、次のRu前駆体の添加前に実行されてよいが、特定の実施形態では、酸化剤と還元剤プラズマとの間にパージはない。すなわち、いくつかの実施形態では、動作413および動作423でパージが実行されても、パージ動作417は有利に省略されてよい。
図4Gでは、Ruライナを堆積する純粋なサーマルALD法の例が示されている。方法430は、基板をRu前駆体に露出させることで開始する。(431)様々な実施形態によれば、Ru前駆体は、上記のRu(0)前駆体であってもよいが、いくつかの実施形態ではRu(II)前駆体が使用されてもよい。動作431中の例示的な基板温度は、150℃から450℃の範囲であり、いくつかの実施形態では、200℃から400℃の範囲である。例示的なチャンバ圧力は、0.1トールから10トールの範囲であり、いくつかの実施形態では、0.5トールから5トールの範囲である。Ru前駆体は、アルゴン(Ar)などのキャリアガスを使用して、たとえば25sccmから1000sccm、いくつかの実施形態では100sccmから500sccmのキャリアガス流量で供給されてよい。キャリアガスはプロセス全体で使用されてよい。例示的な前駆体の添加時間は、0.5秒から20秒の範囲であってよく、いくつかの実施形態では、2秒から15秒の範囲であってよい。添加時間、チャンバ、圧力、キャリアガス流量、Ru濃度、および温度はすべて、1つまたは複数のフィーチャを十分なRu前駆体に露出させ、吸着した飽和層または準飽和層を形成し、および/または共反応物と反応させるために、適切に変更されてよいことが理解される。オプションのパージが実行されてよい。(433)。実行される例示的なパージ時間は、0.1秒から10秒であり、いくつかの実施形態では、0.5秒から5秒である。基板は、その後、酸化剤と還元剤との混合物、たとえばO2/H2混合物に露出される。(435)。O2/H2体積流量比混合の例は、1%から6%の範囲である。希薄なO2フローを提供するために、他の還元剤が同様に使用されてよい。
酸化剤は、酸化メカニズムを使用してRuの層を形成するために、吸着されたRu前駆体と反応してよく、還元剤は、得られる膜の酸素含有量を低下させるのに有効である。上記のように、キャリアガスが適用されてよい。例示的な添加時間は、20秒未満であり、いくつかの実施形態では、0.2秒から5秒の間である。オプションのパージが実行されてよい。(437)。実行される例示的なパージ時間は、0.1秒から10秒であり、いくつかの実施形態では0.1秒から5秒である。その後、所望の厚さが達成されるまで、動作431〜435が繰り返されてよい。(439)。
実験
以下に説明するように、5つの異なるALDプロセスを使用して、WCN膜上にRuライナ層(厚さ約2nm)が堆積された。
プロセス1は、多数のRu(II)前駆体−パージ−還元剤−パージサイクルを伴う、図4Eに従うプロセスの例である。
プロセス2は、還元剤を使用せず、多数のRu(0)−パージ−酸化剤−パージサイクルを伴うプロセスである。
プロセス3は、多数のRu(0)−パージ−酸化剤−パージ−還元剤プラズマ−パージサイクルを伴う、図4Fに従うプロセスの例である。
プロセス4は、酸化剤と還元剤プラズマ添加との間にパージがない、すなわち多数のRu(0)−パージ−酸化剤−還元剤プラズマ−パージサイクルを伴う、図4Fに従うプロセスの別の例である。
プロセス5は、多数のRu(0)−パージ−酸化剤+還元剤−パージサイクルを伴う、図4Gに従うプロセスの例である。
同じRu(0)前駆体が、プロセス2〜5のために使用された。すべてのプロセスにおいて、還元剤はH2であり、酸化剤はO2であった。還元剤(プラズマ)を示すプロセスのために、直流Ar/H2プラズマが使用された。
膜は、1)Ru/WCN界面における、および2)Ruライナ層内の酸素割合(0%)に関して、SIMS/XPSによって、Ru/WCN界面における酸化タングステン(WO3)厚さに関して、ARXPSを使用して分析された。結果が表2に示される。
Figure 2020522618
還元剤なしのプロセス(プロセス2)によって、Ru/WCN界面における酸素が最も多くなり、比較的厚いWO3層をもたらした。プロセス4とプロセス3を比較すると、酸化剤と還元剤プラズマとの間のパージを省略すると、界面における酸素含有量が減少した。
装置
図5は、低圧環境を維持するためのプロセスチャンバ502を有するALDプロセスステーション500の実施形態の概略図を示す。複数のALDプロセスステーションが、共通の低圧プロセスツール環境に含まれてよい。たとえば、図6は、マルチステーションプロセスツール600の実施形態を示す。いくつかの実施形態では、以下で詳細に説明するものを含む、ALDプロセスステーション500の1つまたは複数のハードウェアパラメータは、1つまたは複数のコンピュータコントローラ550によってプログラムで調整されてよい。
ALDプロセスステーション500は、プロセスガスを分配シャワーヘッド506に供給するために、反応物供給システム501aと流体連通する。反応物供給システム501aは、シャワーヘッド506への供給のために、Ru前駆体含有ガス、水素含有ガス、または反応物含有ガスなどのプロセスガスを混合および/または調整するための混合容器504を含む。1つまたは複数の混合容器注入弁520は、混合容器504へのプロセスガスの導入を制御してよい。様々な実施形態において、Ruライナ層の選択的堆積は、プロセスステーション500で実行され、いくつかの実施形態では、前処理、Ruシード層堆積、またはRuギャップ充填などの他の動作が、図5に関して以下でさらに説明するマルチステーションプロセスツール500の同じまたは別のステーションで実行されてもよい。
例として、図5の実施形態は、混合容器504に供給される液体反応物を気化するための気化ポイント503を含む。いくつかの実施形態では、気化ポイント503は加熱気化器であってよい。いくつかの実施形態では、液体前駆体または液体反応物は、液体注入器(図示せず)で気化されてよい。たとえば、液体注入器は、液体反応物のパルスを、混合容器504の上流のキャリアガス流に注入してよい。1つの実施形態では、液体注入器は、液体をより高い圧力から、より低い圧力にフラッシュすることにより、反応物を気化させてよい。別の例において、液体注入器は、液体を霧化して、分散した微小液滴としてよく、微小液滴は、その後、加熱された供給パイプ内で気化される。より小さな液滴は、より大きな液滴よりも早く蒸発してよく、液体注入と完全な蒸発との間の遅延を減少させる。より早い気化は、気化ポイント503から下流の配管の長さを短縮させてよい。1つのシナリオでは、液体注入器が、混合容器504に直接取り付けられてよい。別のシナリオでは、液体注入器が、シャワーヘッド506に直接取り付けられてよい。
いくつかの実施形態では、気化およびプロセスチャンバ502への供給のために液体の質量流量を制御するために、気化ポイント503の上流に液体流量コントローラ(LFC)が提供されてよい。たとえば、LFCは、LFCの下流に位置するサーマル質量流量計(MFM)を含んでよい。その後、MFMと電気通信する比例積分微分(PID)コントローラによって提供されるフィードバック制御信号に応答して、LFCのプランジャバルブが調整されてよい。しかしながら、フィードバック制御を使用して液体の流れを安定させるために1秒以上を要してよい。これにより、液体反応物を添加するための時間は長くなってよい。したがって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態では、これは、LFCの検知管およびPIDコントローラを無効にすることにより実行されてよい。
シャワーヘッド506は、プロセスガスを基板512に向けて分配する。図5に示す実施形態では、基板512は、シャワーヘッド506の下に配置され、ペデスタル508上に載っていることが示されている。シャワーヘッド506は、任意の適切な形状を有してよく、プロセスガスを基板512に分配するための任意の適切な数および配置のポートを有してよい。
いくつかの実施形態では、ペデスタル508は、基板512を、基板512とシャワーヘッド506との間の容積に露出させるために上下されてよい。いくつかの実施形態において、ペデスタル508は、ヒータ510を介して温度制御されてよい。ペデスタル508は、様々な開示された実施形態を実行するため、動作中に、約200°Cから約400°Cの間など、任意の適切な温度に設定されてよい。いくつかの実施形態では、ペデスタルの高さは、適切なコンピュータコントローラ550によってプログラムで調整されてよいことが理解されよう。プロセス段階の終わりに、ペデスタル508は、ペデスタル508から基板512を除去することを可能にするために、別の基板移送段階中に下げられてよい。
いくつかの実施形態では、シャワーヘッド506の位置をペデスタル508に対して調整して、基板512とシャワーヘッド506との間の容積を変更してよい。さらに、ペデスタル508および/またはシャワーヘッド506の垂直位置は、本開示の範囲内の任意の適切なメカニズムによって変更されてよいことが理解されるであろう。いくつかの実施形態では、ペデスタル508は、基板512の向きを回転させるための回転軸を含んでよい。いくつかの実施形態では、これら例示的な調整の1つまたは複数が、1つまたは複数の適切なコンピュータコントローラ550によってプログラムで実行されてよいことが理解されよう。コンピュータコントローラ550は、図6のコントローラ550に関して以下で説明されるフィーチャのいずれかを含んでよい。
上述のようにプラズマが使用されてよいいくつかの実施形態では、シャワーヘッド506およびペデスタル508は、プラズマに電力を供給するために無線周波数(RF)電源514および整合ネットワーク516と電気的に通信する。いくつかの実施形態では、プラズマエネルギは、プロセスステーション圧力、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングのうちの1つまたは複数を制御することによって制御されてよい。たとえば、RF電源514および整合ネットワーク516は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適切な電力で動作されてよい。同様に、RF電源514は、任意の適切な周波数のRF電力を提供してよい。いくつかの実施形態では、RF電源514は、互いに独立して高周波および低周波RF電源を制御するように構成されてよい。低周波RF周波数の例は、0kHzから900kHzの周波数を含むが、これらに限定されない。高周波RF周波数の例は、1.8MHzから2.45GHz、または約13.56MHzより高い、または27MHzより高い、または80MHzより高い、または60MHzより高い周波数を含んでよいが、これらに限定されない。表面反応のためにプラズマエネルギを提供するために、任意の適切なパラメータが、離散的または連続的に調節されてよいことが理解されよう。
いくつかの実施形態では、プラズマは、1つまたは複数のプラズマモニタによってその場で監視されてよい。1つのシナリオでは、プラズマ電力は、1つまたは複数の電圧、電流センサ(たとえば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度および/またはプロセスガス濃度は、1つまたは複数の発光分光分析センサ(OES)によって測定されてもよい。いくつかの実施形態では、1つまたは複数のプラズマパラメータは、このようなその場でのプラズマモニタからの測定値に基づいてプログラムで調整されてよい。たとえば、OESセンサは、プラズマ電力のプログラムによる制御を提供するためにフィードバックループで使用されてよい。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するために、他のモニタが使用されてよいことが理解されよう。このようなモニタは、赤外線(IR)モニタ、音響モニタ、および圧力トランスデューサを含んでよいが、これらに限定されない。
上記のプラズマは、直流プラズマである。しかしながら、いくつかの実施形態では、結果として生じ、プロセスチャンバに供給されたプラズマとともに、プロセスチャンバから離れたプラズマ発生器が使用されてよい。
いくつかの実施形態では、コントローラ550のための命令は、入力/出力制御(IOC)シーケンス命令を介して提供されてよい。一例では、プロセス段階の条件を設定するための命令は、プロセスレシピの対応するレシピ段階に含まれてよい。いくつかの場合には、プロセスレシピ段階は、プロセス段階のすべての命令が、そのプロセス段階と同時に実行されるように、シーケンシャルに構成されてよい。いくつかの実施形態では、1つまたは複数の反応器パラメータを設定するための命令が、レシピ段階に含まれてもよい。たとえば、第1のレシピ段階は、不活性および/または反応ガス(たとえば、Ru前駆体)の流量を設定するための命令と、キャリアガス(アルゴンなど)の流量を設定するための命令と、第1のレシピ段階のための時間遅延命令とを含んでよい。後続する第2のレシピ段階は、不活性ガスおよび/または反応ガスの流量を調整または停止するための命令と、キャリアまたはパージガスの流量を調整するための命令と、第2のレシピ段階のための時間遅延命令とを含んでよい。第3のレシピ段階は、H2、NH3、O2などの第2の反応ガスの流量を調整するための命令と、キャリアまたはパージガスの流量を調整するための命令と、プラズマを点火するための命令と、第3のレシピ段階のための時間遅延命令とを含んでよい。後続する第4のレシピ段階は、不活性ガスおよび/または反応ガスの流量を調整または停止するための命令と、キャリアまたはパージガスの流量を調整するための命令と、第4のレシピ段階のための時間遅延命令とを含んでよい。これらレシピ段階は、本開示の範囲内で任意の適切な方法でさらに細分化および/または反復されてよいことが理解されるであろう。
さらに、いくつかの実施形態では、プロセスステーション500の圧力制御は、バタフライバルブ518によって提供されてもよい。図5の実施形態に示されるように、バタフライバルブ518は、下流の真空ポンプ(図示せず)によって提供される真空を絞る。しかしながら、いくつかの実施形態では、プロセスステーション500の圧力制御は、プロセスステーション500に導入される1つまたは複数のガスの流量を変更することによって調整されてよい。
上記のように、1つまたは複数のプロセスステーションが、マルチステーションプロセスツールに含まれてよい。図6は、いずれかまたは両方がリモートプラズマ源(図示せず)を含んでよいインバウンドロードロック602およびアウトバウンドロードロック604を備えたマルチステーションプロセスツール600の実施形態の概略図を示す。大気圧において、ロボット606は、ポッド608を通してロードされたカセットから、大気圧ポート610を介して、インバウンドロードロック602にウェーハを移動させるように構成される。ウェーハ(図示せず)は、ロボット606によってインバウンドロードロック602内のペデスタル612上に配置され、大気圧ポート610が閉じられ、ロードロックインバウンド602が排気される。インバウンドロードロック602がリモートプラズマ源を含む場合、ウェーハは、プロセスチャンバ614に導入される前に、インバウンドロードロック602内のリモートプラズマ処理に露出されてよい。さらに、たとえば、水分および吸着ガスを除去するために、ウェーハは、インバウンドロードロック602において加熱されてもよい。次に、プロセスチャンバ614へのチャンバ搬送ポート616が開かれ、別のロボット(図示せず)が、プロセスのために反応器内に示される第1のステーションのペデスタル上の反応器内にウェーハを配置する。図6に示される実施形態はロードロックを含むが、いくつかの実施形態では、プロセスステーションへのウェーハの直接的な進入が提供されてよいことが理解されるであろう。
図示されるプロセスチャンバ614は、図6に示す実施形態では1から4の番号が付けられた4つのプロセスステーションを含む。各ステーションは、加熱されたペデスタル(ステーション1の場合、618で示される)と、ガスライン注入口とを有する。いくつかの実施形態では、各プロセスステーションは、異なる目的または多数の目的を有してよいことが理解されよう。たとえば、いくつかの実施形態では、プロセスステーションは、サーマルALDプロセスモードとPEALDプロセスモードとの間で切り替え可能であってよい。いくつかの実施形態では、堆積前駆体への露出、および第2の反応物およびプラズマへの露出は、同じステーションで実行される。追加または代替として、いくつかの実施形態では、プロセスチャンバ614は、ALDおよびPEALDプロセスステーションの1つまたは複数の一致するペアを含んでよい。さらに、前処理ガスまたはプラズマへの露出、およびALDプロセスは、同じステーションまたは異なるステーションでなされてよい。図示されるプロセスチャンバ614は、4つのステーションを含むが、本開示に従うプロセスチャンバは、任意の適切な数のステーションを有してよいことが理解されるであろう。たとえば、いくつかの実施形態では、プロセスチャンバは、5つ以上のステーションを有してもよく、他の実施形態では、プロセスチャンバは、3つ以下のステーションを有してもよい。
図6は、プロセスチャンバ614内でウェーハを移送するためのウェーハハンドリングシステム660の実施形態を示す。いくつかの実施形態では、ウェーハハンドリングシステム660は、様々なプロセスステーション間、および/または、プロセスステーションとロードロックとの間で、ウェーハを移送してもよい。任意の適切なウェーハハンドリングシステムが適用されてよいことが理解されよう。非限定的な例は、ウェーハカルーセルおよびウェーハハンドリングロボットを含む。また、図6は、プロセスツール600のプロセス条件およびハードウェア状態を制御するために適用されるシステムコントローラ650の実施形態も示している。システムコントローラ650は、1つまたは複数のメモリデバイス656、1つまたは複数の大容量記憶デバイス654、および1つまたは複数のプロセッサ652を含んでよい。プロセッサ652は、CPUまたはコンピュータ、アナログ、および/またはデジタル入力/出力接続、ステッピングモータコントローラボードなどを含んでよい。
いくつかの実施形態において、システムコントローラ650は、プロセスツール600のアクティビティのすべてを制御する。システムコントローラ650は、大容量記憶デバイス654に記憶され、メモリデバイス656にロードされ、プロセッサ652で実行されるシステム制御ソフトウェア658を実行する。あるいは、制御ロジックは、コントローラ650に、ハードコードされてもよい。これら目的のために、特定用途向け集積回路、プログラマブルロジックデバイス(たとえば、フィールドプログラマブルゲートアレイすなわちFPGA)などが使用されてよい。以下の説明では、「ソフトウェア」または「コード」が使用される場合は常に、機能的に同等のハードコードされたロジックが、代わりに使用されてよい。システム制御ソフトウェア658は、タイミング、ガスの混合、ガス流量、チャンバおよび/またはステーション圧力、チャンバおよび/またはステーション温度、プラズマ露出期間、UV放射期間、ウェーハ温度、目標電力レベル、RF電力レベル、基板ペデスタル、チャックおよび/またはサセプタ位置、および、プロセスツール600によって実行される特定のプロセスの他のパラメータを制御するための命令を含んでよい。システム制御ソフトウェア658は、任意の適切な手法で構成されてよい。たとえば、様々なプロセスツールプロセスを実行するために使用されるプロセスツールコンポーネントの動作を制御するために、様々なプロセスツールコンポーネントのサブルーチンまたは制御オブジェクトが記述されてよい。システム制御ソフトウェア658は、任意の適切なコンピュータ可読プログラミング言語でコード化されてよい。
いくつかの実施形態では、システム制御ソフトウェア658は、上記の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を含んでよい。いくつかの実施形態では、システムコントローラ650に関連付けられた大容量記憶デバイス654および/またはメモリデバイス656に記憶された他のコンピュータソフトウェアおよび/またはプログラムが適用されてよい。この目的のためのプログラムまたはプログラムのセクションの例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、基板をペデスタル618上にロードし、基板とプロセスツール600の他の部分との間の間隔を制御するために使用されるプロセスツールコンポーネントのためのプログラムコードを含んでよい。
プロセスガス制御プログラムは、ガス組成(たとえば、有機タングステン化合物含有ガス、共反応ガス、前処理を実行するためのガス、および本明細書に記載のパージガス)および流量を制御するための、および、オプションとして、プロセスステーション内の圧力を安定させるために、堆積前に1つまたは複数のプロセスステーションにガスを流すためのコードを含んでよい。圧力制御プログラムは、たとえば、プロセスステーションの排気システムにおけるスロットルバルブ、プロセスステーションへのガスの流れなどを調整することにより、プロセスステーションにおける圧力を制御するためのコードを含んでよい。
ヒータ制御プログラムは、基板を加熱するために使用される加熱ユニットへの電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、熱伝達ガス(ヘリウムなど)の基板への供給を制御してもよい。
プラズマ制御プログラムは、本明細書の実施形態に従う1つまたは複数のプロセスステーションにおけるプロセス電極に印加されるRF電力レベルを設定するためのコードを含んでよい。
圧力制御プログラムは、本明細書の実施形態に従って反応チャンバ内の圧力を維持するためのコードを含んでよい。
いくつかの実施形態では、システムコントローラ650に関連付けられたユーザインターフェースがあってよい。ユーザインターフェースは、ディスプレイ画面と、装置および/またはプロセス条件のグラフィックソフトウェアディスプレイと、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスとを含んでよい。
いくつかの実施形態では、システムコントローラ650によって調整されるパラメータは、プロセス条件に関連してよい。非限定的な例は、プロセスガスの組成および流量、温度、圧力、プラズマ条件(RFバイアス電力レベルなど)などを含む。これらパラメータは、ユーザインターフェースを使用して入力されてよいレシピの形式でユーザに提供されてよい。
プロセスを監視するための信号は、様々なプロセスツールセンサからのシステムコントローラ650のアナログおよび/またはデジタル入力接続によって提供されてよい。プロセスを制御するための信号は、プロセスツール600のアナログおよびデジタル出力接続で出力されてよい。監視されてよいプロセスツールセンサの非限定的な例は、質量流量コントローラ、圧力センサ(圧力計など)、熱電対などを含む。プロセス条件を維持するために、適切にプログラムされたフィードバックおよび制御アルゴリズムが、これらセンサからのデータとともに使用されてよい。
システムコントローラ650は、上記の堆積プロセスを実施するためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度など、様々なプロセスパラメータを制御してよい。命令は、本明細書に記載の様々な実施形態に従って、膜スタックのその場堆積を操作するためのパラメータを制御してもよい。
システムコントローラ650は、典型的には、装置が、開示された実施形態に従う方法を実行できるように、命令を実行するように構成された1つまたは複数のメモリデバイスおよび1つまたは複数のプロセッサを含む。開示される実施形態に従ってプロセス動作を制御するための命令を含む機械可読媒体は、システムコントローラ650に結合されてよい。
いくつかの実装形態では、システムコントローラ650はシステムの一部であり、これは上記の例の一部であってよい。このようなシステムは、1つまたは複数のプロセスツール、1つまたは複数のチャンバ、プロセス用の1つまたは複数のプラットフォーム、および/または、特定のプロセスコンポーネント(ウェーハペデスタル、ガスフローシステムなど)を含む半導体プロセス機器を含むことができる。これらシステムは、半導体ウェーハまたは基板のプロセス前、プロセス中、プロセス後の動作を制御するための電子機器と統合されてよい。電子機器は、1つまたは複数のシステムの様々なコンポーネントまたはサブパートを制御してよい「コントローラ」と呼ばれてよい。システムコントローラ650は、プロセス条件および/またはシステムのタイプに応じて、プロセスガスの供給、温度設定(たとえば、加熱および/または冷却)、圧力、設定、真空設定、電力設定、無線周波数(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ツールおよび他の移送ツールとの間のウェーハ移送、および/または、特定のシステムに接続またはインターフェースされたロードロックを含む、本明細書で開示されるプロセスのいずれかを制御するようにプログラムされてよい。
大まかに言って、システムコントローラ650は、命令を受信し、命令を発行し、動作を制御し、クリーニング動作を可能にし、エンドポイント測定を可能にするなど、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェアの形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されたチップ、および/または、1つまたは複数のマイクロプロセッサ、またはプログラム命令(たとえば、ソフトウェア)を実行するマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(またはプログラムファイル)の形式でシステムコントローラ650に通知される命令であってよく、半導体ウェーハ上または半導体ウェーハのための、またはシステムへの、特定のプロセスを実行するための動作パラメータを定義する。いくつかの実施形態では、動作パラメータは、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウェーハのダイの製作中に、1つまたは複数のプロセスステップを達成するためにプロセスエンジニアによって定義されるレシピの一部であってよい。
システムコントローラ650は、いくつかの実施では、システムに統合された、システムに結合された、さもなければシステムにネットワーク化された、またはこれらの組合せであるコンピュータの一部であってよいか、または、結合されてよい。たとえば、システムコントローラ650は、「クラウド」またはファブホストコンピュータシステムの全部または一部にあってもよく、これは、ウェーハプロセスの遠隔アクセスを可能にする。コンピュータは、システムへの遠隔アクセスを可能にして、製作動作の現在の進行状況を監視し、過去の製作動作の履歴を調べ、複数の製作動作から傾向または性能メトリックを調べ、現在のプロセスのパラメータを変更し、現在のプロセスに従うプロセスステップを設定するか、または、新たなプロセスを開始してよい。いくつかの例では、遠隔コンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを介して、システムにプロセスレシピを提供してよい。遠隔コンピュータは、その後遠隔コンピュータからシステムに通信されるパラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを含んでよい。いくつかの例では、システムコントローラ650は、1つまたは複数の動作中に実行されるプロセスステップのおのおののパラメータを指定するデータの形式で命令を受信する。パラメータは、実行されるプロセスのタイプと、システムコントローラ650がインターフェースまたは制御するように構成されているツールのタイプに固有であってよいことが理解されるべきである。したがって、上記のように、システムコントローラ650は、共にネットワーク化され、本明細書に記載されたプロセスおよび制御などの共通の目的に向かって作動する1つまたは複数の別々のコントローラを含めることなどによって分散されてよい。このような目的のための分散コントローラの例は、チャンバにおいてプロセスを制御するために組み合わせた遠隔配置された(プラットフォームレベルにおける、または遠隔コンピュータの一部としてのような)1つまたは複数の集積回路と通信するチャンバ上の1つまたは複数の集積回路である。
図5および図6は、本明細書に開示される方法を実行するために使用され得るチャンバおよびツールの例を提供するが、様々な修正がなされてよい。これらは、任意のCCPまたはICPプラズマ発生器の使用、またはリモートプラズマ発生器の使用を含む。
限定されないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、ALDチャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、および半導体ウェーハの製作および/または製造に関連付けられてよい、または使用されてよい他の任意の半導体プロセスシステムを含んでよい。
上述のように、ツールによって実行されるプロセスステップに依存して、システムコントローラ650は、他のツール回路またはモジュール、他のツールコンポーネント、クラスタツール、他のツールインターフェース、隣接ツール、工場全体に配置されたツール、メインコンピュータ、別のコントローラ、または半導体製造工場におけるツールの場所および/またはロードポートとの間でウェーハのコンテナを持ち込む材料搬送に使用されるツールのうちの1つまたは複数と通信してよい。
上記の説明および特許請求の範囲において、数値範囲は、範囲の終点を含む。たとえば、「25sccmから1000sccmのキャリアガス流量」は、25sccmおよび1000sccmを含む。
結論
前述した実施形態は、理解を明確にする目的で、ある程度詳細に説明したが、添付の特許請求の範囲内で特定の変更および修正を実施してよいことは明らかであろう。本実施形態のプロセス、システム、および装置を実施する多くの代替手法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、実施形態は、本明細書で与えられる詳細に限定されるべきではない。
前述した実施形態は、理解を明確にする目的で、ある程度詳細に説明したが、添付の特許請求の範囲内で特定の変更および修正を実施してよいことは明らかであろう。本実施形態のプロセス、システム、および装置を実施する多くの代替手法があることに留意されたい。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、実施形態は、本明細書で与えられる詳細に限定されるべきではない。本開示は以下の適用例としても実現できる。
[適用例1]
方法であって、
フィーチャを含む基板を受け取ることと、
前記フィーチャにルテニウム(Ru)ライナ層を堆積するために、多数の原子層堆積(ALD)サイクルを実行することであって、前記ALDサイクルのおのおのは、還元剤の添加を含む、実行することと、
前記Ruライナ層を堆積した後、第1のルテニウム前駆体を酸化剤と反応させることにより、前記フィーチャを少なくとも部分的にルテニウムで充填することと、を備えた方法。
[適用例2]
適用例1に記載の方法であって、前記多数のALDサイクルのおのおのは、第2のルテニウム前駆体を前記還元剤と反応させることを備え、前記第2のルテニウム前駆体は、前記第1のルテニウム前駆体とは異なる、方法。
[適用例3]
適用例1に記載の方法であって、前記多数のALDサイクルのおのおのは、前記第1のルテニウム前駆体を前記還元剤と反応させることを含む、方法。
[適用例4]
適用例1に記載の方法であって、前記フィーチャは、前記Ruライナが堆積された第1のライナ層を含む、方法。
[適用例5]
適用例1に記載の方法であって、前記ALDサイクルは、サーマルALDサイクルである、方法。
[適用例6]
適用例1に記載の方法であって、前記ALDサイクルは、プラズマエンハンストALD(PEALD)サイクルである、方法。
[適用例7]
適用例1に記載の方法であって、前記還元剤は、H 2 またはNH 3 、またはH 2 またはNH 3 から生成されたプラズマ種である、方法。
[適用例8]
適用例1に記載の方法であって、前記酸化剤はO 2 、O 3 、またはH 2 Oである、方法。
[適用例9]
適用例1に記載の方法であって、前記多数のALDサイクルのおのおのは、前記第1のルテニウム前駆体を酸化剤と反応させることを備えた、方法。
[適用例10]
適用例9に記載の方法であって、前記還元剤の前記添加は、前記Ruライナ層または下にある金属層に取り込まれた酸素を除去する、方法。
[適用例11]
適用例1に記載の方法であって、前記フィーチャはRuで完全に充填される、方法。
[適用例12]
適用例1に記載の方法であって、前記フィーチャは、Ru、Cu、W、Co、Mo、Ni、およびAlから選択される金属で完全に充填される、方法。
[適用例13]
適用例1に記載の方法であって、前記多数のALDサイクルのおのおのは、ルテニウム前駆体添加と、それに続く酸化剤添加とを備えた、方法。
[適用例14]
適用例13に記載の方法であって、前記ルテニウム前駆体添加および酸化剤添加は、非プラズマ添加である、方法。
[適用例15]
適用例14に記載の方法であって、前記多数のALDサイクルのおのおのは、前記酸化剤添加後の還元剤プラズマ添加を備えた、方法。
[適用例16]
適用例15に記載の方法であって、酸化剤添加と前記還元剤プラズマ添加との間にパージはない、方法。
[適用例17]
適用例13に記載の方法であって、前記酸化剤添加は、酸化剤と還元剤の混合である、方法。
[適用例18]
適用例1に記載の方法であって、前記Ruライナ層は2nm以下である、方法。
[適用例19]
適用例1に記載の方法であって、前記Ruライナ層は、窒化タングステン炭素(WCN)、窒化チタン(TiN)、窒化タングステン(WN)、炭化タングステン(WC)、および窒化タンタル(TaN)から選択される層上に堆積された、方法。
[適用例20]
装置であって、
おのおのが基板を保持するように構成された1または複数のプロセスチャンバと、
ルテニウム(Ru)前駆体源、酸化剤ガス源、および還元剤ガス源に結合するための1つまたは複数のプロセスガス注入口と、
多数のRuライナ堆積サイクルを実行するための機械可読命令を備えた、前記装置内の動作を制御するためのコントローラを備え、各Ruライナ堆積サイクルのための命令は、
前記1つまたは複数のプロセスガス注入口を介して、前記1つまたは複数のプロセスチャンバにRu前駆体添加物を注入するための命令と、
前記Ru前駆体を注入した後、前記1つまたは複数のプロセスガス注入口を介して前記1つまたは複数のプロセスチャンバに酸化剤ガス添加物を注入するための命令と、
酸化剤ガスパルスを注入した後、前記1つまたは複数のプロセスガス注入口を介して、前記1つまたは複数のプロセスチャンバに還元剤ガス添加物を注入するための命令を備えた、装置。
[適用例21]
適用例20に記載の装置であって、コントローラはさらに、前記多数のRuライナ堆積サイクルの後、第2のRu前駆体および酸化剤を前記1つまたは複数のプロセスチャンバに注入するための命令を備えた、Ru充填プロセスを実行するための機械可読命令を備えた、装置。

Claims (21)

  1. 方法であって、
    フィーチャを含む基板を受け取ることと、
    前記フィーチャにルテニウム(Ru)ライナ層を堆積するために、多数の原子層堆積(ALD)サイクルを実行することであって、前記ALDサイクルのおのおのは、還元剤の添加を含む、実行することと、
    前記Ruライナ層を堆積した後、第1のルテニウム前駆体を酸化剤と反応させることにより、前記フィーチャを少なくとも部分的にルテニウムで充填することと、を備えた方法。
  2. 請求項1に記載の方法であって、前記多数のALDサイクルのおのおのは、第2のルテニウム前駆体を前記還元剤と反応させることを備え、前記第2のルテニウム前駆体は、前記第1のルテニウム前駆体とは異なる、方法。
  3. 請求項1に記載の方法であって、前記多数のALDサイクルのおのおのは、前記第1のルテニウム前駆体を前記還元剤と反応させることを含む、方法。
  4. 請求項1に記載の方法であって、前記フィーチャは、前記Ruライナが堆積された第1のライナ層を含む、方法。
  5. 請求項1に記載の方法であって、前記ALDサイクルは、サーマルALDサイクルである、方法。
  6. 請求項1に記載の方法であって、前記ALDサイクルは、プラズマエンハンストALD(PEALD)サイクルである、方法。
  7. 請求項1に記載の方法であって、前記還元剤は、H2またはNH3、またはH2またはNH3から生成されたプラズマ種である、方法。
  8. 請求項1に記載の方法であって、前記酸化剤はO2、O3、またはH2Oである、方法。
  9. 請求項1に記載の方法であって、前記多数のALDサイクルのおのおのは、前記第1のルテニウム前駆体を酸化剤と反応させることを備えた、方法。
  10. 請求項9に記載の方法であって、前記還元剤の前記添加は、前記Ruライナ層または下にある金属層に取り込まれた酸素を除去する、方法。
  11. 請求項1に記載の方法であって、前記フィーチャはRuで完全に充填される、方法。
  12. 請求項1に記載の方法であって、前記フィーチャは、Ru、Cu、W、Co、Mo、Ni、およびAlから選択される金属で完全に充填される、方法。
  13. 請求項1に記載の方法であって、前記多数のALDサイクルのおのおのは、ルテニウム前駆体添加と、それに続く酸化剤添加とを備えた、方法。
  14. 請求項13に記載の方法であって、前記ルテニウム前駆体添加および酸化剤添加は、非プラズマ添加である、方法。
  15. 請求項14に記載の方法であって、前記多数のALDサイクルのおのおのは、前記酸化剤添加後の還元剤プラズマ添加を備えた、方法。
  16. 請求項15に記載の方法であって、酸化剤添加と前記還元剤プラズマ添加との間にパージはない、方法。
  17. 請求項13に記載の方法であって、前記酸化剤添加は、酸化剤と還元剤の混合である、方法。
  18. 請求項1に記載の方法であって、前記Ruライナ層は2nm以下である、方法。
  19. 請求項1に記載の方法であって、前記Ruライナ層は、窒化タングステン炭素(WCN)、窒化チタン(TiN)、窒化タングステン(WN)、炭化タングステン(WC)、および窒化タンタル(TaN)から選択される層上に堆積された、方法。
  20. 装置であって、
    おのおのが基板を保持するように構成された1または複数のプロセスチャンバと、
    ルテニウム(Ru)前駆体源、酸化剤ガス源、および還元剤ガス源に結合するための1つまたは複数のプロセスガス注入口と、
    多数のRuライナ堆積サイクルを実行するための機械可読命令を備えた、前記装置内の動作を制御するためのコントローラを備え、各Ruライナ堆積サイクルのための命令は、
    前記1つまたは複数のプロセスガス注入口を介して、前記1つまたは複数のプロセスチャンバにRu前駆体添加物を注入するための命令と、
    前記Ru前駆体を注入した後、前記1つまたは複数のプロセスガス注入口を介して前記1つまたは複数のプロセスチャンバに酸化剤ガス添加物を注入するための命令と、
    酸化剤ガスパルスを注入した後、前記1つまたは複数のプロセスガス注入口を介して、前記1つまたは複数のプロセスチャンバに還元剤ガス添加物を注入するための命令を備えた、装置。
  21. 請求項20に記載の装置であって、コントローラはさらに、前記多数のRuライナ堆積サイクルの後、第2のRu前駆体および酸化剤を前記1つまたは複数のプロセスチャンバに注入するための命令を備えた、Ru充填プロセスを実行するための機械可読命令を備えた、装置。
JP2019567264A 2017-06-06 2018-06-05 相互接続メタライゼーションにおけるルテニウム層の堆積 Pending JP2020522618A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762515894P 2017-06-06 2017-06-06
US62/515,894 2017-06-06
US15/996,925 US10731250B2 (en) 2017-06-06 2018-06-04 Depositing ruthenium layers in interconnect metallization
US15/996,925 2018-06-04
PCT/US2018/036144 WO2018226754A1 (en) 2017-06-06 2018-06-05 Depositing ruthenium layers in interconnect metallization

Publications (1)

Publication Number Publication Date
JP2020522618A true JP2020522618A (ja) 2020-07-30

Family

ID=64458771

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019567264A Pending JP2020522618A (ja) 2017-06-06 2018-06-05 相互接続メタライゼーションにおけるルテニウム層の堆積

Country Status (6)

Country Link
US (1) US10731250B2 (ja)
JP (1) JP2020522618A (ja)
KR (1) KR102646004B1 (ja)
CN (1) CN110959186B (ja)
TW (1) TW201907038A (ja)
WO (1) WO2018226754A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507300A (ja) * 2018-11-15 2022-01-18 インテグリス・インコーポレーテッド ルテニウム前駆体を使用したpealdプロセス
WO2023008239A1 (ja) * 2021-07-27 2023-02-02 東京エレクトロン株式会社 基板表面に形成された凹部に対してルテニウムを埋め込む方法及び装置
WO2023073924A1 (ja) * 2021-10-29 2023-05-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置及び記録媒体
WO2023238808A1 (ja) * 2022-06-06 2023-12-14 嶺南大學校 産學協力團 化学蒸着法によるルテニウム薄膜又はルテニウム化合物薄膜の製造方法及びルテニウム薄膜又はルテニウム化合物薄膜

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10411017B2 (en) * 2017-08-31 2019-09-10 Micron Technology, Inc. Multi-component conductive structures for semiconductor devices
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11387112B2 (en) * 2018-10-04 2022-07-12 Tokyo Electron Limited Surface processing method and processing system
US11823896B2 (en) * 2019-02-22 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure formed by cyclic chemical vapor deposition
US11282745B2 (en) * 2019-04-28 2022-03-22 Applied Materials, Inc. Methods for filling features with ruthenium
JP7278164B2 (ja) * 2019-07-11 2023-05-19 東京エレクトロン株式会社 ルテニウム膜の形成方法及び基板処理システム
US11462471B2 (en) * 2019-09-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Middle-of-line interconnect structure and manufacturing method
DE102020110480B4 (de) * 2019-09-30 2024-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-Line-Interconnect-Struktur und Herstellungsverfahren
JPWO2021187485A1 (ja) * 2020-03-18 2021-09-23
WO2021239596A1 (en) * 2020-05-26 2021-12-02 Merck Patent Gmbh Methods of forming molybdenum-containing films deposited on elemental metal films
EP4288999A1 (en) 2021-02-08 2023-12-13 MacDermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6153519A (en) 1997-03-31 2000-11-28 Motorola, Inc. Method of forming a barrier layer
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100404649B1 (ko) 1998-02-23 2003-11-10 가부시끼가이샤 히다치 세이사꾸쇼 반도체장치 및 그 제조방법
US6417115B1 (en) 1998-05-26 2002-07-09 Axeclis Technologies, Inc. Treatment of dielectric materials
US6323131B1 (en) 1998-06-13 2001-11-27 Agere Systems Guardian Corp. Passivated copper surfaces
US6063705A (en) 1998-08-27 2000-05-16 Micron Technology, Inc. Precursor chemistries for chemical vapor deposition of ruthenium and ruthenium oxide
US6265311B1 (en) 1999-04-27 2001-07-24 Tokyo Electron Limited PECVD of TaN films from tantalum halide precursors
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
JP4053226B2 (ja) 2000-10-18 2008-02-27 株式会社ルネサステクノロジ 半導体集積回路装置およびその製造方法
US6576345B1 (en) 2000-11-30 2003-06-10 Novellus Systems Inc Dielectric films with low dielectric constants
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100406534B1 (ko) 2001-05-03 2003-11-20 주식회사 하이닉스반도체 루테늄 박막의 제조 방법
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
JP2003068676A (ja) * 2001-08-28 2003-03-07 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び半導体製造装置
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
AU2003282836A1 (en) 2002-10-15 2004-05-04 Rensselaer Polytechnic Institute Atomic layer deposition of noble metals
US20040232552A1 (en) 2002-12-09 2004-11-25 Advanced Micro Devices, Inc. Air gap dual damascene process and structure
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
US7399357B2 (en) 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
DE10343386A1 (de) * 2003-09-19 2005-05-12 Deere & Co Ballenpresse und Verfahren
US7074719B2 (en) 2003-11-28 2006-07-11 International Business Machines Corporation ALD deposition of ruthenium
US7279417B1 (en) 2004-02-03 2007-10-09 Novellus Systems, Inc. Use of metallocenes to inhibit copper oxidation during semiconductor processing
US20050227003A1 (en) 2004-04-08 2005-10-13 Carlson Chris M Methods of forming material over substrates
JP2005314713A (ja) * 2004-04-27 2005-11-10 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude ルテニウム膜またはルテニウム酸化物膜の製造方法
US7211509B1 (en) 2004-06-14 2007-05-01 Novellus Systems, Inc, Method for enhancing the nucleation and morphology of ruthenium films on dielectric substrates using amine containing compounds
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7273814B2 (en) * 2005-03-16 2007-09-25 Tokyo Electron Limited Method for forming a ruthenium metal layer on a patterned substrate
US20070069383A1 (en) * 2005-09-28 2007-03-29 Tokyo Electron Limited Semiconductor device containing a ruthenium diffusion barrier and method of forming
KR100891779B1 (ko) * 2005-11-28 2009-04-07 허니웰 인터내셔날 인코포레이티드 증착 공정용의 유기금속 전구체 및 관련된 중간체, 이들의제조 방법, 및 이들의 사용 방법
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7402883B2 (en) 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7666753B2 (en) 2007-01-11 2010-02-23 International Business Machines Corporation Metal capping process for BEOL interconnect with air gaps
CN103151335B (zh) * 2007-04-09 2016-09-28 哈佛学院院长等 用于铜互连的氮化钴层及它们的形成方法
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
KR101309043B1 (ko) * 2012-01-31 2013-09-17 영남대학교 산학협력단 원자층 증착법에 의한 루테늄 박막 형성 방법 및 그를 이용한 루테늄 박막
WO2013117955A1 (en) 2012-02-07 2013-08-15 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for the deposition of a ruthenium containing film using arene diazadiene ruthenium(0) precursors
TWI610932B (zh) * 2012-12-07 2018-01-11 東曹股份有限公司 釕錯合物及其製造方法、陽離子性三腈錯合物及其製造方法、以及含釕薄膜的製造方法
EP2779224A3 (en) * 2013-03-15 2014-12-31 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9677172B2 (en) * 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9570455B2 (en) * 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
WO2018063815A1 (en) * 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022507300A (ja) * 2018-11-15 2022-01-18 インテグリス・インコーポレーテッド ルテニウム前駆体を使用したpealdプロセス
JP7345546B2 (ja) 2018-11-15 2023-09-15 インテグリス・インコーポレーテッド ルテニウム前駆体を使用したpealdプロセス
WO2023008239A1 (ja) * 2021-07-27 2023-02-02 東京エレクトロン株式会社 基板表面に形成された凹部に対してルテニウムを埋め込む方法及び装置
WO2023073924A1 (ja) * 2021-10-29 2023-05-04 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置及び記録媒体
WO2023238808A1 (ja) * 2022-06-06 2023-12-14 嶺南大學校 産學協力團 化学蒸着法によるルテニウム薄膜又はルテニウム化合物薄膜の製造方法及びルテニウム薄膜又はルテニウム化合物薄膜

Also Published As

Publication number Publication date
WO2018226754A1 (en) 2018-12-13
CN110959186B (zh) 2024-04-02
US10731250B2 (en) 2020-08-04
US20180347041A1 (en) 2018-12-06
KR20200005681A (ko) 2020-01-15
KR102646004B1 (ko) 2024-03-08
CN110959186A (zh) 2020-04-03
TW201907038A (zh) 2019-02-16

Similar Documents

Publication Publication Date Title
KR102646004B1 (ko) 상호접속 금속부에서 루테늄 층들의 증착
KR102599906B1 (ko) Ald에 의한 평활하고 컨포멀한 코발트 막을 형성하기 위한 방법들 및 장치
US9601693B1 (en) Method for encapsulating a chalcogenide material
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
JP2021523292A (ja) 3d nand構造内にタングステンおよび他の金属を堆積させる方法
JP2020510314A (ja) 酸化シリコンの存在下でのシリコン表面上の酸化シリコンまたは窒化シリコンの選択的成長
TW201843329A (zh) 使用催化控制將矽氮化物選擇性沉積於矽氧化物上
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
CN114342062A (zh) 钼沉积
US11404275B2 (en) Selective deposition using hydrolysis
KR20230027036A (ko) 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들
TW201820536A (zh) 用於鈷的錳阻障層及黏著層
JP2024514605A (ja) モリブデンの堆積
JP2023550331A (ja) 低抵抗率コンタクト及びインターコネクト
TW202340503A (zh) 特徵部中的大晶粒鎢生長
TW202338134A (zh) 金屬填充中的傾斜襯墊

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200227