JP2020507922A5 - - Google Patents

Download PDF

Info

Publication number
JP2020507922A5
JP2020507922A5 JP2019541270A JP2019541270A JP2020507922A5 JP 2020507922 A5 JP2020507922 A5 JP 2020507922A5 JP 2019541270 A JP2019541270 A JP 2019541270A JP 2019541270 A JP2019541270 A JP 2019541270A JP 2020507922 A5 JP2020507922 A5 JP 2020507922A5
Authority
JP
Japan
Prior art keywords
based precursor
precursor gas
layer
gas
boron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019541270A
Other languages
English (en)
Other versions
JP2020507922A (ja
JP7229929B2 (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2018/013099 external-priority patent/WO2018144198A1/en
Publication of JP2020507922A publication Critical patent/JP2020507922A/ja
Publication of JP2020507922A5 publication Critical patent/JP2020507922A5/ja
Application granted granted Critical
Publication of JP7229929B2 publication Critical patent/JP7229929B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (15)

  1. 基板上にハードマスク層を形成する方法であって、
    処理チャンバ内にシード層混合ガスを供給することによって、基板上にシード層を形成することと、
    前記処理チャンバ内に遷移層混合ガスを供給することよって、前記シード層上に、タングステン、ホウ素、及び炭素を含む遷移層を形成することと、
    前記処理チャンバ内に主要堆積混合ガスを供給することよって、前記遷移層上に、タングステン、ホウ素、及び炭素を含むバルクハードマスク層を形成することとを含む、
    方法。
  2. 前記遷移層混合ガスが、少なくとも、ホウ素ベースの前駆体ガスと、炭素ベースの前駆体ガスと、タングステンベースの前駆体ガスとを含む、請求項1に記載の方法。
  3. 前記主要堆積混合ガスが、前記ホウ素ベースの前駆体ガスと、前記炭素ベースの前駆体ガスと、前記タングステンベースの前駆体ガスとを含む、請求項2に記載の方法。
  4. 前記シード層混合ガスが、少なくとも、前記ホウ素ベースの前駆体ガスと前記炭素ベースの前駆体ガスとを含む、請求項3に記載の方法。
  5. 前記ホウ素ベースの前駆体ガスはBであり、前記炭素ベースの前駆体ガスはCであり、前記タングステンベースの前駆体ガスはWFである、請求項3に記載の方法。
  6. 前記遷移層混合ガスが、前記ホウ素ベースの前駆体ガスの一定のガス流量を維持しつつ、前記炭素ベースの前駆体ガスのガス流量を減少させることによって、前記処理チャンバに供給される、請求項2に記載の方法。
  7. 前記遷移層混合ガスが、前記タングステンベースの前駆体ガスのガス流量を漸増させることによって、前記処理チャンバに供給される、請求項6に記載の方法。
  8. 前記主要堆積混合ガスが、前記ホウ素ベースの前駆体ガス、前記炭素ベースの前駆体ガス、及び前記タングステンベースの前駆体ガスを一定的に供給することによって、前記処理チャンバに供給される、請求項7に記載の方法。
  9. 前記シード層を形成している間、前記遷移層を形成している間、および前記バルクハードマスク層を形成している間において、水素ベースの前駆体ガスを前記処理チャンバ内に供給することを更に含む、請求項8に記載の方法。
  10. 前記水素ベースの前駆体ガスを前記処理チャンバ内に供給することが、前記水素ベースの前駆体ガスを前記処理チャンバ内に一定的に供給することを含む、請求項9に記載の方法。
  11. 前記シード層を形成している間、および前記遷移層を形成している間において、高周波RF電力を勾配させることと、
    前記バルクハードマスク層を形成している間において、前記高周波RF電力を一定的に供給することとを更に含む、請求項1に記載の方法。
  12. ハードマスク層を形成する方法であって、
    処理チャンバ内に配置されった基板の表面上に、少なくとも、ホウ素ベースの前駆体ガスと、炭素ベースの前駆体ガスとを含む混合ガスを供給して、シード層を形成することと、
    前記処理チャンバ内への前記ホウ素ベースの前駆体ガスの一定の流れを維持しつつ、前記炭素ベースの前駆体ガスを漸減し、前記混合ガスにおいて供給されるタングステンベースの前駆体ガスを漸増して、前記シード層上に遷移層を形成することと、
    前記混合ガスにおける前記タングステンベースの前駆体ガスを、前記タングステンベースの前駆体ガスが所定の流量に到達するまで継続的に供給し、且つ前記タングステンベースの前駆体ガスを一定の所定の流量に維持して、バルクハードマスク層を形成すること
    含む、方法
  13. シード層と、遷移層と、前記遷移層上に配置されたバルクハードマスク層とを備えたハードマスク層であって、
    前記シード層が炭化ホウ素層であり、前記遷移層が勾配タングステン炭化ホウ素層であり、かつ、前記バルクハードマスク層がタングステン炭化ホウ素層である、ハードマスク層。
  14. 約50〜約70原子パーセントのタングステンと、約10〜約30原子パーセントの炭素と、約10〜約20原子パーセントのホウ素とを含む、請求項13に記載のハードマスク層。
  15. 勾配膜構造を有する、請求項13に記載のハードマスク層。
JP2019541270A 2017-02-01 2018-01-10 ハードマスク応用向けのホウ素がドープされた炭化タングステン Active JP7229929B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762453288P 2017-02-01 2017-02-01
US62/453,288 2017-02-01
PCT/US2018/013099 WO2018144198A1 (en) 2017-02-01 2018-01-10 Boron doped tungsten carbide for hardmask applications

Publications (3)

Publication Number Publication Date
JP2020507922A JP2020507922A (ja) 2020-03-12
JP2020507922A5 true JP2020507922A5 (ja) 2021-02-25
JP7229929B2 JP7229929B2 (ja) 2023-02-28

Family

ID=62980183

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019541270A Active JP7229929B2 (ja) 2017-02-01 2018-01-10 ハードマスク応用向けのホウ素がドープされた炭化タングステン

Country Status (6)

Country Link
US (1) US10403502B2 (ja)
JP (1) JP7229929B2 (ja)
KR (1) KR102557334B1 (ja)
CN (1) CN110249410B (ja)
TW (1) TWI749165B (ja)
WO (1) WO2018144198A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020035869A (ja) * 2018-08-29 2020-03-05 キオクシア株式会社 マスク材、および半導体装置の製造方法
KR20220092575A (ko) * 2019-11-01 2022-07-01 어플라이드 머티어리얼스, 인코포레이티드 감소된 결함의 증착 프로세스들
CN114830299A (zh) * 2019-11-12 2022-07-29 应用材料公司 减少氢沉积工艺
US20210159048A1 (en) * 2019-11-25 2021-05-27 Applied Materials, Inc. Dual rf for controllable film deposition
KR20220012474A (ko) * 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
WO2022124334A1 (ja) * 2020-12-10 2022-06-16 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20220293416A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Systems and methods for improved carbon adhesion
US20220341034A1 (en) * 2021-04-26 2022-10-27 Applied Materials, Inc. Metal-doped boron films
WO2024006088A1 (en) * 2022-06-27 2024-01-04 Lam Research Corporation Integrated high aspect ratio etching

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0799495A4 (en) 1994-11-10 1999-11-03 Lawrence Semiconductor Researc SILICON-GERMANIUM-CARBON COMPOSITIONS AND RELATED PROCESSES
US5821169A (en) 1996-08-05 1998-10-13 Sharp Microelectronics Technology,Inc. Hard mask method for transferring a multi-level photoresist pattern
US6958295B1 (en) * 1998-01-20 2005-10-25 Tegal Corporation Method for using a hard mask for critical dimension growth containment
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6893938B2 (en) * 2003-04-21 2005-05-17 Infineon Technologies Ag STI formation for vertical and planar transistors
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
JP4879159B2 (ja) 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7079740B2 (en) * 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
KR100568257B1 (ko) 2004-07-29 2006-04-07 삼성전자주식회사 듀얼 다마신 배선의 제조방법
KR100669141B1 (ko) 2005-01-17 2007-01-15 삼성전자주식회사 오믹막 및 이의 형성 방법, 오믹막을 포함하는 반도체장치 및 이의 제조 방법
US7413992B2 (en) * 2005-06-01 2008-08-19 Lam Research Corporation Tungsten silicide etch process with reduced etch rate micro-loading
KR100669107B1 (ko) 2005-07-11 2007-01-16 삼성전자주식회사 마스크 구조물, 이의 제조 방법, 이를 이용한 패턴 형성방법 및 반도체 장치의 콘택 형성 방법
KR100735520B1 (ko) 2005-09-23 2007-07-04 삼성전자주식회사 텅스텐 실리사이드막 형성 방법 및 이를 이용한 반도체소자의 제조 방법
KR20070047624A (ko) * 2005-11-02 2007-05-07 주성엔지니어링(주) 박막 패턴 형성 방법
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
KR100713925B1 (ko) 2005-12-28 2007-05-07 주식회사 하이닉스반도체 반도체 소자의 제조방법
US7728503B2 (en) 2006-03-29 2010-06-01 Ricoh Company, Ltd. Electron emission element, charging device, process cartridge, and image forming apparatus
KR100808056B1 (ko) * 2006-12-27 2008-02-28 주식회사 하이닉스반도체 하드마스크를 이용한 패턴 형성 방법
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
KR100939777B1 (ko) 2007-11-30 2010-01-29 주식회사 하이닉스반도체 텅스텐막 형성방법 및 이를 이용한 반도체 소자의 배선형성방법
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8148269B2 (en) * 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
JP5656010B2 (ja) * 2009-12-04 2015-01-21 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated ハードマスク膜を形成する方法およびハードマスク膜を成膜する装置
KR101566925B1 (ko) 2010-01-29 2015-11-16 삼성전자주식회사 반도체소자의 제조방법
JP5621735B2 (ja) * 2010-09-03 2014-11-12 信越化学工業株式会社 パターン形成方法及び化学増幅ポジ型レジスト材料
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
US9281207B2 (en) * 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
JP2012204456A (ja) * 2011-03-24 2012-10-22 Toshiba Corp 半導体装置の製造方法
US8647989B2 (en) * 2011-04-15 2014-02-11 United Microelectronics Corp. Method of forming opening on semiconductor substrate
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US9040421B2 (en) 2013-05-03 2015-05-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved contact structures
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9018103B2 (en) * 2013-09-26 2015-04-28 Lam Research Corporation High aspect ratio etch with combination mask
KR102311036B1 (ko) * 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
JP5852151B2 (ja) 2014-02-12 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
CN105097704B (zh) * 2014-05-04 2018-02-16 中芯国际集成电路制造(上海)有限公司 闪存器件及其形成方法
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9938616B2 (en) 2014-07-29 2018-04-10 Lam Research Corporation Physical vapor deposition of low-stress nitrogen-doped tungsten films
WO2016025573A1 (en) 2014-08-15 2016-02-18 Applied Materials, Inc. Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9875890B2 (en) 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
JP6489951B2 (ja) * 2015-06-12 2019-03-27 東芝メモリ株式会社 半導体装置の製造方法
TWI720106B (zh) * 2016-01-16 2021-03-01 美商應用材料股份有限公司 Pecvd含鎢硬遮罩膜及製造方法
TWI680496B (zh) * 2016-09-13 2019-12-21 美商應用材料股份有限公司 高壓縮/拉伸的翹曲晶圓上的厚鎢硬遮罩膜沉積
JP2018098287A (ja) 2016-12-09 2018-06-21 東芝メモリ株式会社 半導体装置の製造方法

Similar Documents

Publication Publication Date Title
JP2020507922A5 (ja)
Hiramatsu et al. Nucleation control of carbon nanowalls using inductively coupled plasma-enhanced chemical vapor deposition
JP2013046070A5 (ja)
Xu et al. Adhesion improvement of diamond coatings on cemented carbide with high cobalt content using PVD interlayer
JP2012506151A5 (ja)
Subramanian et al. The effect of growth rate control on the morphology of nanocrystalline diamond
US5114696A (en) Diamond growth method
TW201624589A (zh) 增進製程均勻性的方法及系統
TWI466823B (zh) 雪花型石墨烯及其製備方法
EP4296225A3 (en) A method of making graphene layer structures
CN103924208B (zh) 一种制备多层石墨烯薄膜的方法
WO2017113745A1 (zh) 一种热界面材料及其制备方法、导热片和散热系统
CN104860297B (zh) 一种多层石墨烯的制备方法
CN103183333A (zh) 石墨烯层的形成方法
CN104495814A (zh) 一种插层式制备石墨烯的方法
JP6630025B1 (ja) 半導体製造用部品、複合体コーティング層を含む半導体製造用部品及びその製造方法
JP6624727B2 (ja) 炭化ケイ素成長用原料粒子、炭化ケイ素成長用原料粒子の製造方法および炭化ケイ素単結晶の製造方法
JP6950196B2 (ja) プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
Suzuki et al. Effect of hydrogen on carbon nanowall growth by microwave plasma-enhanced chemical vapor deposition
TW201641752A (zh) 鑽石成核方法及其所形成之結構
JP6785545B2 (ja) 炭化珪素単結晶製造用の黒鉛坩堝
Ali et al. Simultaneous growth of diamond and nanostructured graphite thin films by hot-filament chemical vapor deposition
JP4988535B2 (ja) プラズマcvd装置及び成膜方法
Chen et al. Defect structure for the ultra-nanocrystalline diamond films synthesized in H2-containing Ar/CH4 plasma
Shen et al. The effect of Cu ion implantation and post-annealing on surface morphology and electron field emission in ultrananocrystalline diamond