JP2018150520A - 元素状ケイ素を含む膜の化学機械平坦化 - Google Patents

元素状ケイ素を含む膜の化学機械平坦化 Download PDF

Info

Publication number
JP2018150520A
JP2018150520A JP2018035003A JP2018035003A JP2018150520A JP 2018150520 A JP2018150520 A JP 2018150520A JP 2018035003 A JP2018035003 A JP 2018035003A JP 2018035003 A JP2018035003 A JP 2018035003A JP 2018150520 A JP2018150520 A JP 2018150520A
Authority
JP
Japan
Prior art keywords
derivatives
salts
polishing composition
range
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018035003A
Other languages
English (en)
Other versions
JP6673954B2 (ja
Inventor
マシュー ヘンリー ジェイムズ
Matthew Henry James
マシュー ヘンリー ジェイムズ
ジョウ ホーンジュン
Hongjun Zhou
ジョウ ホーンジュン
ピー.ムレッラ クリシュナ
P Murella Krishna
ピー.ムレッラ クリシュナ
チャンドラカント タンボリ ドンヤネシュ
Dnyanesh Chandrakant Tamboli
チャンドラカント タンボリ ドンヤネシュ
ローズ ジョセフ
Rose Joseph
ローズ ジョセフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of JP2018150520A publication Critical patent/JP2018150520A/ja
Application granted granted Critical
Publication of JP6673954B2 publication Critical patent/JP6673954B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • B24B37/245Pads with fixed abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Composite Materials (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

【課題】半導体装置の製造に用いられる化学機械平坦化研磨組成物ならびに化学機械平坦化を実施するための研磨方法を提供する。【解決手段】研磨剤粒子および、元素状ケイ素を含む膜、例えばポリシリコンおよびシリコン−ゲルマニウム、の除去速度を増加させる添加剤を含む化学機械平坦化(CMP)研磨組成物。【選択図】なし

Description

関連出願の相互参照
本願は、2017年2月28日出願の米国仮出願第62/454680号の非仮出願であり、ここに参照することによってその全体を本明細書の内容とする。
本発明は、半導体装置の製造に用いられる化学機械平坦化(「CMP」)研磨組成物(CMPスラリー、CMP組成物(単数)またはCMP組成物(複数)は同じ意味で用いられる)、ならびに化学機械平坦化を実施するための研磨方法に関する。特には、本発明は、元素の形態のケイ素、例えばポリ−Si、アモルファスSiまたはSi−Geを含む膜の研磨のための研磨組成物に関する。
Si含有膜は、長期間に亘って半導体工業において用いられてきている。Si含有膜を高除去速度で研磨するために多くの取り組みがなされている。
米国特許第3429080号明細書には、結晶性Si膜の除去速度を増加させるために酸化剤を用いることが開示されている。米国特許出願公開第2013/109182号明細書には、ポリ−Si膜を窒化珪素に対して高い速度で研磨するためのジ第四級カチオンを含む組成物が開示されている。米国特許第6533832号明細書には、アルコールアミン化合物を用いたポリ−Si研磨への向上した選択性が記載されている。米国特許第7585340号明細書には、他の膜に対して、高いポリSi除去速度をもたらす、ポリエーテルアミン化合物を含む組成物が開示されている。
それらの研磨組成物および方法にもかかわらず、元素状ケイ素を含む膜に対する高い除去速度ならびに、元素状ケイ素を含む膜ならびに他の膜、例えば酸化ケイ素および窒化ケイ素の間の除去速度の制御された選択性を与えることができる研磨組成物および方法に対する必要性が当技術分野においてはなお存在する。本発明の組成物および方法は、ここに提供される本発明の説明から明らかとなるように、それらの発明性の特徴を提供する。
本明細書には、そのような必要性を満足する、ケイ素含有材料のCMP研磨組成物、方法および装置が記載されている。
1つの態様では、本明細書には、研磨剤粒子、液体キャリアならびに、(i)硫黄または窒素または硫黄と窒素の両方をヘテロ原子として含み、そして環構造に結合されたカルボニル基を含むヘテロ環式炭素化合物、(ii)硫黄または窒素または硫黄と窒素の両方をヘテロ原子として含むヘテロ環式炭素化合物、(iii)アルデヒドまたはケトン化合物、からなる群から選択される、元素状ケイ素を含む膜の除去速度を増加させる化合物、を含む研磨組成物が記載されている。
好ましい態様では、元素状ケイ素を含む膜の除去速度を増加させる化合物は、硫黄および窒素ヘテロ原子の両方を、炭素環に結合下カルボニル基とともに含むヘテロ環式炭素化合物である。
更に好ましい態様では、元素状ケイ素を含む膜の除去速度を増加させる化合物は、イソチアゾリノン化合物である。化学薬品イソチアゾリノン化合物の例としては、メチルイソチアゾリノン(MIT),クロロメチルイソチアゾリノン(CMIT)、ベンズイソチアゾリノン(BIT)、オクチルイソチアゾリノン(OIT),ジクロロオクチルイソチアゾリノン(DCOIT)およびブチルベンズイソチアゾリノン(BBIT)が挙げられるが、それらには限定されない。
更なる態様では、研磨組成物は、酸化ケイ素、酸化セリウム、または酸化ケイ素と酸化セリウムを含む複合粒子からなる群から選択された1種もしくは2種以上の研磨剤粒子、ならびに元素状ケイ素を含む膜の除去速度を増加させる化合物としてメチルイソチアゾリノンを含んでいる。
更なる態様では、研磨組成物は、酸化ケイ素、酸化セリウム、または酸化ケイ素と酸化セリウムを含む複合粒子からなる群から選択された1種もしくは2種以上の研磨剤粒子、元素状ケイ素を含む膜の除去速度を増加させる化合物としてメチルイソチアゾリノン、ならびに窒化ケイ素膜の除去速度を抑制する添加剤を含んでいる。
更なる態様では、研磨組成物は、酸化ケイ素、酸化セリウム、または酸化ケイ素と酸化セリウムを含む複合粒子からなる群から選択された1種もしくは2種以上の研磨剤粒子、元素状ケイ素を含む膜の除去速度を増加させる化合物としてメチルイソチアゾリノン、ならびにアクリル酸基を含むポリマーまたは共重合体を含んでいる。
更なる態様では、研磨組成物は、酸化セリウム含有研磨剤、シリカ研磨剤、元素状ケイ素を含む膜の除去速度を増加させる化合物としてメチルイソチアゾリノン、および窒化ケイ素膜の除去速度を抑制する添加剤としての、アクリル酸基を含むポリマーもしくは共重合体を含んでいる。
また、研磨組成物は、他の種類の添加剤、例えば界面活性剤、分散剤、腐食防止剤、殺生物剤、pH調整剤、pH緩衝化合物などを含むことができる。
本発明の研磨組成物は、研磨剤粒子を、0.01質量%〜約15質量%の濃度範囲、またはより好ましくは0.1質量%〜約5質量%の範囲、または最も好ましくは0,2質量%〜約3質量%の範囲で含むことができる。
元素状ケイ素を含む膜の除去速度を増加させる化合物は、0.0001質量%〜1質量%の範囲、またはより好ましくは0.001質量%〜0.5質量%の範囲、または最も好ましくは0.01質量%〜0.2質量%の範囲で存在することができる。
典型的には、研磨組成物のpHは、1〜13の範囲、好ましくは2〜12の範囲、そしてより好ましくは3〜11の範囲である。
本発明は、
0.01質量%〜15質量%の範囲の研磨剤粒子、
0.001質量%〜0.5質量%の範囲の、元素状ケイ素を含む膜の除去速度を高める化合物、および、
液体キャリア、
を含む研磨組成物を提供し、そして、
この研磨組成物のpHは、2〜12の範囲であり、
1種もしは2種以上の研磨剤は、ヒュームドシリカ、コロイド状シリカ、ヒュームドアルミナ、コロイド状アルミナ、酸化セリウム、セリア−シリカ複合粒子、二酸化チタン、酸化ジルコニウム、ポリスチレン、ポリメチルメタクリレート、雲母、水和ケイ酸アルミニウム、およびそれらの混合物からなる群から選択され、そして、
元素状ケイ素を含む膜の除去速度を高める化合物は、(i)硫黄または窒素または硫黄と窒素の両方をヘテロ原子として含み、そして環構造に結合されたカルボニル基を含むヘテロ環式炭素化合物、(ii)硫黄または窒素または硫黄と窒素の両方をヘテロ原子として含むヘテロ環式炭素化合物、(iii)アルデヒドまたはケトン化合物、およびそれらの組合わせからなる群から選択される。
本発明は、以下の工程を含む、元素状ケイ素を含む少なくとも1つの表面を含む半導体基材の化学機械平坦化のための研磨方法を提供する。
元素状ケイ素を含む少なくとも1つの表面を研磨パッドと接触させる工程;
研磨組成物を、前記元素状ケイ素を含む少なくとも1つの表面へと供給する工程;
前記研磨組成物は、
0.01質量%〜15質量%の範囲の研磨剤粒子;
元素状ケイ素を含む膜の除去速度を高めるための、0.001質量%〜0,5質量%の範囲の化合物;および、
液体キャリア、
を含んでおり、そして
前記研磨組成物のpHは2〜12の範囲である;
ここで、1種もしくは2種以上の研磨剤は、ヒュームドシリカ、コロイド状シリカ、ヒュームドアルミナ、コロイド状アルミナ、酸化セリウム、セリア−シリカ複合粒子、二酸化チタン、酸化ジルコニウム、ポリスチレン、ポリメチルメタクリレート、雲母、水和ケイ酸アルミニウムおよびそれらの混合物からなる群から選択されれ;そして、
元素状ケイ素を含む膜の除去速度を高める化合物は、イソチアゾリノンおよび誘導体、チアゾリノンおよび誘導体、イミダゾリジンおよび誘導体、ピロゾリジン(pyrozolidine)および誘導体、イミダゾールおよび誘導体、ピラゾールおよび誘導体、チアゾールおよび誘導体、イソチアゾールおよび誘導体、チアゾリジンおよび誘導体、イソチアゾリジンおよび誘導体、ジチオランおよび誘導体、トリアゾールおよび誘導体、テトラゾールおよび誘導体、チアジアゾールおよび誘導体、ならびにそれらの組合わせ;アセトン、ベンゾフェノン、アセトフェノン、アセチルアセトン、ブタノール、3−ヒドロキシブタナール、p-nitrobenzenzaaldehyde、シンナムアルデヒド、バニリン、およびそれらの組合わせからなる群から選択される;ならびに、
前記元素状ケイ素を含む少なくとも1つの表面を前記研磨組成物で研磨する工程。
本発明は、以下のものを含む、元素状ケイ素を含む少なくとも1つの表面を含む半導体基材の化学機械平坦化のための研磨装置を提供する。
半導体基材;
研磨パッド;ならびに、
0.01質量%〜15質量%の範囲の研磨剤粒子;
元素状ケイ素を含む膜の除去速度を高めるための、0.001質量%〜0,5質量%の範囲の化合物;および、
液体キャリア、
を含む研磨組成物;そして
前記研磨組成物のpHは2〜12の範囲である;
ここで、前記研磨剤は、ヒュームドシリカ、コロイド状シリカ、ヒュームドアルミナ、コロイド状アルミナ、酸化セリウム、セリア−シリカ複合粒子、二酸化チタン、酸化ジルコニウム、ポリスチレン、ポリメチルメタクリレート、雲母、水和ケイ酸アルミニウムおよびそれらの混合物からなる群から選択されれ;そして、
元素状ケイ素を含む膜の除去速度を高める化合物は、イソチアゾリノンおよび誘導体、チアゾリノンおよび誘導体、イミダゾリジンおよび誘導体、ピロゾリジン(pyrozolidine)および誘導体、イミダゾールおよび誘導体、ピラゾールおよび誘導体、チアゾールおよび誘導体、イソチアゾールおよび誘導体、チアゾリジンおよび誘導体、イソチアゾリジンおよび誘導体、ジチオランおよび誘導体、トリアゾールおよび誘導体、テトラゾールおよび誘導体、チアジアゾールおよび誘導体、ならびにそれらの組合わせ;アセトン、ベンゾフェノン、アセトフェノン、アセチルアセトン、ブタノール、3−ヒドロキシブタナール、p-nitrobenzenzaaldehyde、シンナムアルデヒド、バニリン、およびそれらの組合わせからなる群から選択される;
ここで、前記半導体基材は、前記研磨組成物および前記パッドと接触される。
元素状ケイ素を含む膜の除去速度を高める化合物は、(i)メチルイソチアゾリノン(MIT)、クロロメチルイソチアゾリノン(CMIT)、ベンゾイソチアゾリノン(BIT)、オクチルイソチアゾリノン(OIT)、ジクロロオクチルイソチアゾリノン(DCOIT)、ブチルベンズイソチアゾリノン(BBIT)、およびそれらの組合わせからなる群から選択されるイソチアゾリノンおよび誘導体、ならびに(ii)2−ベンゾチアゾール−1,1,3−トリオン(サッカリン)、N−メチル2−ベンゾチアゾリノン、チアゾリノン、およびそれらの組合わせからなる群から選択されるチアゾリノンおよび誘導体、ならびにそれらの組合わせからなる群から選択することができる。
半導体基材は、酸化ケイ素、窒化ケイ素またはそれらの組合わせを含む少なくとも1つの表面を更に含み;そして研磨組成物は、0.1ppm〜0.5質量%の、有機カルボン酸およびそれらの塩、アミノ酸およびそれらの塩、アミドカルボン酸およびそれらの塩、N−アシルアミノ酸およびそれらの塩、有機スルホン酸およびそれらの塩、有機ホスホン酸およびそれらの塩、高分子量カルボン酸およびそれらの塩、高分子量スルホン酸およびそれらの塩、高分子量ホスホン酸およびそれらの塩、アリールアミン、アミノアルコール、脂肪族アミン、ヘテロ環式アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、ならびにそれらの組合わせからなる群から選択される官能基を有する添加剤、或いは(i)および(ii)の組み合わせを更に含んでいる。
開示された方法および装置は、0.1〜110の範囲の、元素状ケイ素および酸化ケイ素もしくは窒化ケイ素の間の調整可能な除去速度選択性を与えることができる。
本発明の研磨組成物は、元素状ケイ素を含む膜を高い除去速度で研磨するために有用である。
元素状ケイ素を含む膜は、種々の種類のケイ素を含む膜を含んでおり、その中では、ケイ素の他の元素との化学結合は実施的にない。元素状ケイ素を含む膜は、ケイ素の種々の結晶形態、例えば多結晶ケイ素(ポリSiとも表される)、無定形ケイ素(а‐Siと表される)または単結晶を含んでいる。
また、元素状ケイ素を含む膜は、種々のドープ添加剤または合金添加剤を含むことができる。ドープ添加剤または合金添加剤の例としては、ゲルマニウム、リン、ホウ素、アルミニウム、窒素、ガリウム、インジウム、ヒ素、アンチモン、リチウム、キセノン、金、白金が挙げられるが、それらには限定されない。ドーピング添加剤もしくは合金添加剤と膜中のlケイ素との間の元素の相対的な比率は、1E−10〜99.99999の範囲であることができる。
1つの態様では、研磨組成物は、2種もしくは3種以上の膜を同時に研磨するのに用いられ、それらの膜の少なくとも1つは、元素状のケイ素を含み、そして他の膜の少なくとも1つはケイ素の化合物を含んでいる。
ケイ素の化合物を含む膜は、酸化ケイ素、窒化ケイ素、炭化ケイ素、オキシ炭化ケイ素、オキシ窒化ケイ素が挙げられるが、それらには限定されない種々の種類の膜を含むことができる。酸化ケイ素膜の例としては、熱酸化物、テトラエチルオルソシリケート(TEOS)、高密度プラズマ(HDP)酸化物、高アスペクト比プロセス(HARP)膜、フッ素化酸化物膜、ドープ酸化物膜、有機ケイ酸塩ガラス(OSG)low−K誘電体膜、スピンオンガラス(SOG)、流動性化学蒸気堆積(CVD)膜、光学ガラス、ディスプレイガラスが挙げられるが、それらには限定されない。
本発明の研磨組成物は、元素状ケイ素を含む膜とケイ素の化合物を含む膜との間の、ある範囲の除去速度選択性を達成することができる。
幾つかの態様では、元素状ケイ素を含む膜の除去速度は、ケイ素の化合物を含む膜に比較して非常に高いことができる。例えば、研磨組成物は、ポリSiを、酸化ケイ素および/または窒化ケイ素膜に比べて、20超の除去速度選択性で、非常に高い速度で研磨することができる。
幾つかの他の態様では、研磨組成物は、ポリSiおよび酸化ケイ素の両方を、5未満のポリSiと酸化ケイ素の間の除去速度選択性で、非常に高い速度で研磨することができる。
特定の態様では、3つの膜、ポリSi,酸化ケイ素および窒化ケイ素の全てが、匹敵する除去速度で全て研磨され、いずれかの2つの膜の間の除去速度の比率は、5未満である。
特定の態様では、ポリSi膜は、酸化ケイ素除去速度の1.5倍以上、好ましくは2倍超の除去速度で研磨され、一方で、ポリSiおよびSiNの間の除去速度選択性は25超である。
幾つかの態様では、本発明の研磨組成物は、元素状のケイ素を含む膜を、500Å/分超、またはより好ましくは1000Å/分超、または最も好ましくは1500Å/分超の除去速度で、研磨するのに用いることができる。幾つかの態様では、元素状のケイ素を含む膜の除去速度は、1000Å/分超であり、一方で、酸化ケイ素の除去速度は、50Å/分未満である。幾つかの態様では、元素状のケイ素を含む膜の除去速度は、1000Å/分超であり、一方で、酸化ケイ素除去速度は、500Å/分超である。
それらの組成物は、素子分離法(Shallow Trench Isolation)(STI)、層間誘電体(ILD)研磨、金属間誘電体(IMD)研磨、シリコン貫通ビア(TSV)研磨およびベアウエハ研磨が挙げられるが、それらには限定されない種々の用途に用いることができる。
1つの態様では、ここに記載されているのは、研磨剤粒子、液体キャリアおよび、元素状ケイ素を含む膜の除去速度を高める化合物を含む研磨組成物であり、この化合物は、(i)ヘテロ原子として硫黄または窒素または硫黄と窒素の両方を含み、そして環構造に結合されたカルボニル基を含むヘテロ環式炭素化合物、(ii)ヘテロ原子として硫黄または窒素または硫黄と窒素の両方を含むへトロ環式炭素化合物、(iii)アルデヒドもしくはケトン化合物からなる群から選択される。
ヘテロ環式炭素化合物は、炭素を含む少なくとも2種の異なる元素を有する環式化合物または環構造と定義することができる。ヘテロ原子は、炭素、窒素および酸素から選択される1種もしくは2種以上の原子を含むことができる。ヘテロ環式環は、環員として3〜7個の原子を含むことができる。また、ヘテロ環式環は、ベンゼン環と縮合されていることができる。
炭素および窒素そして環に結合されたカルボニル基の両方を有するヘテロ環式炭素化合物の例としては、イソチアゾリノン、チアゾリノンおよびそれらの誘導体化合物が挙げられるが、それらには限定されない。
化学物質イソチアゾリノン化合物の例としては、メチルイソチアゾリノン(MIT)、クロロメチルイソチアゾリノン(CMIT),ベンゾイソチアゾリノン(BIT)、オクチルイソチアゾリノン(OIT),ジクロロオクチルイソチアゾリノン(DCOIT)およびブチルベンズイソチアゾリノン(BBIT)が挙げられるが、それらには限定されない。
チアゾリノン化合物の例としては、2−ベンゾチアゾール−1,1,3−トリオン(サッカリン)、N−メチル2−ベンゾチアゾリノンおよびチアゾリノンが挙げられるが、それらには限定されない。
硫黄または窒素または両方をヘテロ原子として含むヘテロ環式炭素化合物の例としては、イミダゾリジン、ピロゾリジン(pyrozolidine)、イミダゾール、ピラゾール、チアゾール、イソチアゾール、チアゾリジン、イソチアゾリジン、ジチオラン、トリアゾール、テトラゾール、チアジアゾール、およびそれらの誘導体が挙げられるが、それらには限定されない。
アルデヒドまたはケトン化合物の例としては、アセトン、ベンゾフェノン、アセトフェノン、アセチルアセトン、ブタノール、3−ヒドロキシブタナール、p-nitrobenzenzaaldehyde、シンナムアルデヒド、バニリンが挙げられるが、それらには限定されない。
元素状のSiを含む膜の除去速度を高める化合物は、0.0001質量%〜1質量%の範囲、またはより好ましくは0.001質量%〜0.5質量%の範囲、または最も好ましくは0.01質量%〜0.2質量%の範囲で、CMP組成物中に存在することができる。
本発明のCMP組成物は、研磨剤粒子を含んでいる。
研磨剤粒子は、広範囲の粒子、ヒュームドシリカ、コロイド状シリカ、アルミナがドープされたシリカ、ヒュームドアルミナ、コロイド状アルミナ、酸化セリウム、セリア−シリカ複合粒子、二酸化チタン、酸化ジルコニウム、ポリスチレン、ポリメチルメタクリレート、雲母、水和ケイ酸アルミニウムおよびそれらの混合物から選ぶことができるが、それらには限定されない。
シリカまたはアルミナ粒子を含む研磨組成物は、元素状ケイ素を含む膜を、酸化ケイ素膜に対してより高い除去速度選択性で研磨するのに好適である可能性がある。
シリカまたはアルミナ粒子を含む研磨組成物は、例えば、元素状ケイ素を含む膜と酸化ケイ素膜との間の所望の除去速度選択性が20より大きい、またはより好ましくは30より大きい、または最も好ましくは50より大きい場合には、ウエハを研磨するのに用いることができる。
酸化セリウムまたはセリア−シリア複合粒子を含む研磨組成物は、元素状ケイ素膜および酸化ケイ素膜の両方について高い除去速度を必要とする用途により好適である可能性がある。
酸化セリウムまたはセリア−シリカ複合粒子を含む研磨組成物は、例えば、元素状ケイ素を含む膜と酸化ケイ素膜との間の所望の除去速度選択性が10未満、またはより好ましくは5未満、または最も好ましくは2未満である場合に、ウエハを研磨するのに用いることができる。
研磨剤粒子の平均粒子径は、好適な技術、例えば動的光散乱法によって測定することができる。
シリカおよびアルミナ粒子の平均粒子径は、10nm〜500nmの範囲、より好ましくは20nm〜150nmの範囲、最も好ましくは30nm〜80nmの範囲であることができる。
セリアまたはセリア−シリカ複合粒子の平均粒子径は、10nm〜5000nmの範囲、またはより好ましくは50nm〜300nm、そして最も好ましくは75nm〜200nmの範囲であることができる。
セリア粒子は、いずれかの好適な技術、例えば、焼成−ミル粉砕または液体処理によるコロイド形成によって製造することができる。セリア粒子は、単結晶または多結晶であることができる。特定の場合には、表面はまた、ヒドロキシル化された形態であることができる。
幾つかの態様では、複合粒子、例えばシリカ粒子の芯の表面上にセリアがコーティングされたセリア−シリカ複合粒子が好ましい可能性がある。
シリカ芯へのセリアコーティングは、シリカ粒子の表面上への連続した殻(shell)状構造の形態または分離したセリアナノ粒子の形態であることができる。
幾つかの態様では、シリカ芯粒子は、アモルファスであり、そしてセリアナノ粒子は単結晶である。
幾つかの好ましい態様では、セリアコーティングされたシリカ粒子は、透過型電子顕微鏡画像処理によって測定して、1nm〜30nmの範囲、またはより好ましくは10nm〜20nmの範囲のサイズのセリアナノ粒子で装飾された、50〜200nmの範囲の平均粒子径を有するシリカ芯を含むことができる。
特定の態様では、シリカ芯粒子を被覆するセリアナノ粒子は、表面上に部分的なシリカコーティングを有することができる。
セリア−シリカ複合粒子は、いずれかの好適な方法によって、記載された方法によって製造することができる。国際公開第2016/159167号に記載された方法は、向上した性能のためのセリアコーティングされたシリカ粒子の製造に特に好適である可能性がある。
本発明の他の態様は、研磨力の下で崩壊しないセリアコーティングされたシリカ粒子の使用を含んでいる。粒子が、研磨力(すなわち、崩壊性力)の下で崩壊せず、そして当初の粒子径の特徴を維持する場合には、それゆえに除去速度は高く維持されることが仮定される。一方で、粒子が研磨力の下で崩壊する場合には、除去速度は、有意により小さな研磨剤粒子径のために、低下する。また、粒子の破壊は、不規則な形状の粒子をもたらす可能性があり、それは引掻き傷欠陥に望ましくない影響を有する可能性がある。また、崩壊性力の下での粒子安定性は、組成物を、超音波処理に30分間付し、そしてサイズ分布の変化を測定することによって測定することができる。
超音波処理の好ましい条件は、100Wの出力での42KHZの周波数を備えた浴中への1/2時間の浸漬である。粒子径分布は、いずれかの好適な技術、例えばディスク遠心分離(DC)法または動的光散乱法(DLS)を用いることによって測定することができる。サイズ分布における変化は、平均粒子径またはD50(50%の粒子がこのサイズ未満)またはD99(99%の粒子がこのサイズ未満)、あるいはいずれかの同様のパラメータにおける変化によって特徴付けることができる。
好ましくは、セリアコーティングされたシリカ粒子の、超音波処理後の粒子径分布における変化は、例えば、DCおよび平均粒子径、D50、D75および/またはD99を用いることによって、10%未満、より好ましくは5%未満、または最も好ましくは2%未満である。CMPスラリー組成物中でそのような安定な粒子を用いることは、膜材料の除去のために研磨力のより効果的な使用を可能にし、そしてまた、引掻き欠陥の原因となるいずれかの不規則な形状の発生を防止することができる。
進歩したCMP用途では、研磨の後に誘電体表面上に、ナトリウムなどの金属の非常に低い水準を必要とするので、研磨組成物中に非常に低い微量金属、特にはナトリウムを有することが望ましい。特定の好ましい態様では、この組成物は、この組成物中の粒子のそれぞれのパーセントに対して、質量基準で、5ppm未満、より好ましくは1ppm未満、最も好ましくは0.5ppm未満のナトリウム不純物水準を有する研磨剤粒子を含んでいる。
本発明の研磨組成物は、0.001質量%〜約15質量%、またはより好ましくは0.01質量%〜約5質量%、または最も好ましくは0.05質量%〜約3質量%の濃度範囲で、研磨剤粒子を含むことができる。
特定の態様では、元素状Si含有膜および酸化ケイ素膜の両方が、高い速度(4psiの下向き力で、>500Å/分)で研磨され、一方で、同時に元素状ケイ素膜を、酸化ケイ素膜の除去速度よりも好ましくは1.5倍、またはより好ましくは2倍超速い速度で除去することが必要である。
この態様のための研磨組成物は、少なくとも2種の研磨剤粒子(第1の粒子は酸化セリウム含有の研磨剤粒子であり、そして第2の粒子はシリカである)、元素状ケイ素膜のための除去速度増進剤、例えばイソチアゾリノンおよびチアゾリノン、を含む。酸化セリウムを含む研磨剤粒子の濃度は、好ましくは0.01〜5質量%の範囲、またはより好ましくは0.02〜1質量%の範囲であることができる。シリカ粒子の濃度は、好ましくは0.01〜5質量%の範囲、またはより好ましくは0.1〜2質量%の範囲である。
幾つかの他の態様では、窒化ケイ素膜の除去速度が、元素状ケイ素および酸化ケイ素膜に比べて遥かに低くてよいことが、更に必要とされる可能性がある。
それらの態様のための研磨組成物は、窒化ケイ素の除去速度を抑制させる化合物を更に含むことができる。
それらの添加剤としては、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、およびそれらの塩;有機スルホン酸およびそれらの塩;有機ホスホン酸およびそれらの塩;高分子量カルボン酸およびそれらの塩;高分子量スルホン酸およびそれらの塩;高分子量ホスホン酸およびそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、ヘテロ環式アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、ならびにそれらの組合わせからなる群から選択される官能基を有する化合物を挙げることができるが、しかしながらそれらには限定されない。好ましい添加剤は、アクリル酸基を含むポリマーまたはコポリマーである。好ましいポリマーは、ポリアクリル酸アンモニウムである。
更に他の態様では、元素状ケイ素膜は、貫通ビアシリコン(TSV)CMPなどの研磨用途で必要とされるように、金属膜(例えば、銅、タングステン)と共に高い速度で研磨されることができる。
CMP組成物中の液体キャリアは、水を含むことができる。
また、研磨組成物は、更なる目的、例えば酸化物の速度を高める、窒化ケイ素の速度を低下させる、金属膜の除去速度を高める、ための他の種類の化学品添加剤、金属膜の腐食防止剤などを含むことができる。
それらの添加剤としては、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、およびそれらの塩;有機スルホン酸およびそれらの塩;有機ホスホン酸およびそれらの塩;高分子量カルボン酸およびそれらの塩;高分子量スルホン酸およびそれらの塩;高分子量ホスホン酸およびそれらの塩;アリールアミン、アミドアルコール、脂肪族アミン、ヘテロ環式アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、チアゾール化合物ならびにそれらの組み合わせからなる群から選択される官能基を有する化合物を挙げることができるが、しかしながらそれらには限定されない。
好ましい添加剤は、アクリル酸基を含むポリマーまたはコポリマーである。好ましいポリマーはポリアクリル酸アンモニウムである。ポリアクリル酸またはその塩の分子量は、100〜5000000、または好ましくは500〜100000、または最も好ましくは1000〜20000の範囲であることができる。
化学品添加剤の量は、バリアCMP組成物の全質量に対して、約0.1ppm〜0.5質量%の範囲である。この好ましい範囲は、約200ppm〜0.3質量%、そしてより好ましい範囲は、約500ppm〜0.15質量%である。
また、研磨組成物は、その組成物のpHを達成する、そして維持するために、pH調整剤またはpH緩衝添加剤または両方を含むことができる。
pH調整剤としては、水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、水酸化第四級有機アンモニウム(例えば、水酸化テトラメチルアンモニウム)およびそれらの混合物が挙げられるが、しかしながらそれらには限定されない。
pH緩衝剤は、有機もしくは無機酸と塩基との塩を含むことができる。好適なpH緩衝剤としては、ポリアクリル酸、クエン酸、酢酸、炭酸、ビシン、トリシン、トリス4−(2−ヒドロキシエチル)−1−ピペラジンエタンスルホン酸、N−シクロヘキシル−3−アミノプロパンスルホン酸、3−(N−モルフォリノ)プロパンスルホン酸、およびピペラジン−N,N−ビス(2−エタンスルホン酸)の塩が挙げられるが、しかしながらそれらには限定されない。
pH調整剤またはpH緩衝剤または両方の量は、CMP組成物の全質量に対して、約0.0001質量%〜約5質量%の範囲である。好ましい範囲は、約0.0005質量%〜約1質量%、そしてより好ましくは約0.0005質量%〜約0.5質量%である。
典型的には、研磨組成物のpHは、1〜13の範囲、好ましくは2〜12の範囲、そしてより好ましくは3〜10の範囲である。より高いpHを有する研磨組成物は、より高いポリSi速度をもたらす可能性がある。しかしながら、より高いpH、特には8超では、酸化ケイ素または窒化ケイ素膜の除去速度もまた増加する可能性がある。非常に低い酸化ケイ素または窒化ケイ素の除去速度を有することが必要な特定の用途では、好ましいpH範囲は、4〜10の範囲である可能性がある。
CMP組成物は、界面活性剤を含むことができる。
界面活性剤としては、a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤、およびそれらの混合物が挙げられるが、それらには限定されない。
非イオン性界面活性剤は、長鎖アルコール、エトキシル化アルコール、エトキシル化アセチレンジオール界面活性剤、ポリエチレングリコールアルキルエーテル、プロピレングリコールアルキルエーテル、グルコシドアルキルエーテル、ポリエチレングリコールオクチルフェニルエーテル、ポリエチレンググリコールアルキルフェニルエーテル、グリセロールアルキルエステル、ポリオキシエチレングリコールソルビタンアルキルエステル、ソルビタンアルキルエステル、コカミドモノエタノールアミン、コカミドジエタノールアミン、ドデシルジメチルアミンオキシド、ポリエチレングリコールとプロピレングリコールのブロック共重合体、ポリエトキシル化牛脂アミン、フルオロ界面活性剤が挙げられるが、しかしながらそれらには限定されない化学種の範囲から選択することができる。界面活性剤の分子量は、数百〜百万超の範囲であることができる。また、それらの材料の粘度は、非常に広い分布を有している。
アニオン性界面活性剤としては、アルキルカルボン酸塩、アルキルポリアクリル酸塩、アルキル硫酸塩、アルキルリン酸塩、アルキルビカルボキシレート(bicarboxylate)、アルキル重硫酸塩(bisulfate)、アルキル重リン酸塩(biphosphate)、例えば、アルコキシカルボン酸塩、アルコキシ硫酸塩、アルコキシリン酸塩、アルコキシビカルボキシレート(bicarboxylate)、アルコキシ重硫酸塩、アルコキシ重リン酸塩、例えば、置換アリールカルボン酸塩、置換アリール硫酸塩、置換アリールリン酸塩、置換アリールビカルボキシレート、置換アリール重硫酸塩、置換アリール重リン酸塩などの、好適な疎水性尾部を有する塩が挙げられるが、しかしながらそれらには限定されない。この種類の表面湿潤剤の対イオンとしては、カリウム、アンモニウムおよび他の正イオンが挙げられるが、しかしながらそれらには限定されない。それらのアニオン性表面湿潤剤の分子量は、数百〜数十万の範囲である。
カチオン性表面湿潤剤は、分子骨格の主要部分に正の正味の電荷を有している。カチオン性界面活性剤は、典型的には、疎水性鎖およびカチオン性電荷中心を含む分子、例えばアミン、第四級アンモニウム、ベンジアルコニウム(benzyalkonium)およびアルキルピリジニウムイオンを含む分子のハロゲン化物である。
更に、他の態様では、界面活性剤は、両性表面湿潤剤であることができ、正(カチオン性)と負(アニオン性)の電荷の両方を、分子の主鎖上に有し、そしてそれらの対応する対イオンを有している。カチオン性部分は、第1級、第2級、または第3級アミンまたは第4級アンモニウムカチオンに基づいている。アニオン性部分は、より可変性であり、そしてスルタインCHAPS(3−[(3−コラミドプロピル)ジメチルアンモニオ]−1−プロパンスルホナート)およびコカミドプロピルヒドロキシスルタインにおけるようにスルホン酸塩を含んでいる。ベタイン、例えばコカミドプロピルベタインは、アンモニウムとともにカルボキシル酸を有している。両性界面活性剤の幾つかは、アミンもしくはアンモニウムを備えてたリン酸塩アニオン、例えば、ホスホリピドホスファチジルセリン、ホスファチジルエタノールアミン、ホスファチジルコリン、およびスフィンゴミエリンを有することができる。
また、界面活性剤の例としては、硫酸ドデシルナトリウム塩、ラウリル硫酸ナトリウム、硫酸ドデシルアンモニウム塩、第2級アルカンスルホン酸塩、アルコールエトキシレート、アセチレン系界面活性剤、およびそれらのいずれかの組み合わせが挙げられるが、しかしながらそれらには限定されない。
商業的に入手可能な好適な界面活性剤の例としては、Dow Chemicalsによって製造されたTRITON(商標)、Tergitol(商標)、DOWFAX(商標)の類の界面活性剤およびAir Products and Chemicalsによって製造された、SURFYNOL(商標)、DYNOLTM(商標)、Zetasperse(商標)、Nonidet(商標)、およびTomadol(商標)界面活性剤の類の種々の界面活性剤が挙げられる。
また、好適な界面活性剤としては、エチレンオキシド(EO)およびプロピレンオキシド(PO)機を含むポリマーを挙げることができる。EO−POポリマーの例としては、BASF ChemicalsのTetronic(商標)90R4がある。
分散剤および/または湿潤剤の機能を有する他の界面活性剤としては、アニオン性またはカチオン性またはノニオン性または両性の特徴を有することができる高分子量化合物が挙げられるが、しかしながらそれらには限定されない。例としては、官能基、例えばアクリル酸、マレイン酸、スルホン酸、ビニル酸(vinyl acid)、エチレンオキシドなどを含むポリマーおよび/または共重合体がある。
界面活性剤の量は、CMP組成物の全質量に対して、約0.0001質量%〜約10質量%の範囲である。好ましい範囲は、約0.001質量%〜約1質量%、そしてより好ましくは約0.005質量%〜約0.1質量%である。
CMP組成物は、貯蔵の間の細菌および真菌の成長を防止するための生物学的成長阻害剤または保存料を含むことができる。
生物学的成長阻害剤としては、テトラメチルアンモニウムクロリド、テトラエチルアンモニウムクロリド、テトラプロピルアンモニウムクロリド、アルキルベンジルジメチルアンモニウムクロリド、イソチアゾリン化合物、およびアルキルベンジルジメチルアンモニウムヒドロキシド(ここでアルキル鎖は、1〜約20個の範囲の炭素原子)、亜塩素酸ナトリウム、および次亜塩素酸ナトリウムが挙げられるが、しかしながらそれらには限定されない。
商業的に入手可能な保存料の幾つかとしては、Dow ChemicalsのKATHON(商標)およびNEOLENE(商標)製品群、およびLanxessのPreventol(商標)群が挙げられる。その他のものが米国特許第5,230,833号明細書(Rombergerら)および米国特許出願公開第2002/0025762号明細書中に開示されている。それらの内容をここに参照することによって、それらの全てを本明細書の内容とする。
特定の態様では、スラリーは、濃縮された形態で製造することができ、そして使用の時点で水で希釈することができる。幾つかの他の態様では、スラリーは、潜在的な問題、例えばスラリー中の粒子の安定性、輸送コストなどを克服するために、2種もしくは3種以上の成分として製造することができ、それらを使用の時点で、随意選択的な水での希釈とともに混合することができる。
Dow Corporationから供給された研磨パッドIC1010パッド、およびFujiboから供給された軟質フジボウ研磨パッドがCMPプロセスに用いられた。
TEOS テトラエチルオルソシリケートを前駆体として用いた化学蒸気堆積(CVD)による酸化物膜
HDP 高密度プラズマ(HDP)技術によって作られた酸化物膜
SiN膜 窒化ケイ素膜
パラメータ
Å:オングストローム、長さの単位
BP:背圧、psi単位による
CMP:化学機械平坦化=化学機械研磨
CS:担体速度
DF:下向き力:CMPの間に加えられる圧力、単位psi
min:分間
mL:ミリリットル
mV:ミリボルト
psi:ポンド毎平方インチ
PS:研磨装置のプラテン回転速度、rpm(回転/分)
SF:研磨組成物の流量、mL/分
除去速度および選択性
除去速度(RR)=(研磨前の膜厚−研磨後の膜厚)/研磨時間
TEOS/SiNの選択性=TEOS RR/SiN RR;
TEOS/ポリSiの選択性=TEOS RR/ポリSi RR
同じ下向き力(psi)において
全てのパーセントは、特に断りのない限り、質量パーセントである。
一般的な実験手順
以下に示される例では、CMP実験が、下記の手順および実験条件を用いて実施された。
これらの例で用いられたCMP装置は、Applied Materials(3050 Boweres Avenue、Santa Clara、カリフォルニア州、95054)によって製造されたMirra(商標)である。Dow Electronic Chemicalsから供給されたIC1010パッドが、ブランケットウエハ研磨検討のためにプラテン上で用いられた。パッドは、ダミーの酸化物(TEOS前駆体からのプラズマ促進CVDによって堆積された、PETEOS)ウエハを25回研磨することによって使い慣らされた。
例1
研磨組成物は、表1に列挙された組成物に従って作られた。
メチル−イソチアゾリノンは、Dow Chemicals(米国)からNeolone M-10の商品名で購入された。
PL−2およびPL−2Lシリカ研磨剤は、扶桑化学工業株式会社(東京、日本)から購入された。
動的光散乱法によって測定された粒子径は、約50nmであった。
セリアコーティングされたシリカ粒子は、JGC Catalysts and Chemicals Ltd(16階、Solid Square East Tower、川崎市幸区堀川町580、神奈川県、212-0013、日本)によって製造された。
Figure 2018150520
ウエハは、4psiの下向き力および85rpmのテーブル速度で研磨された。
表2には、表1に示された研磨組成物での種々の膜の除去速度データがまとめられている。
Figure 2018150520
組成物1と組成物2との間のポリSi速度の比較によって、増加されたポリSi除去速度をもたらす、pHの増加の有益な効果が示された。
より高いメチル−イソチアゾリノン濃度(0.05質量%)を含む組成物1は、僅かに0.015質量%のメチル−イソチアゾリノン濃度しか含まない組成物3と比較して、より高い除去速度を示し、メチル−イソチアゾリノンが、ポリSi除去速度の促進剤として作用することを示している。
セリアコーティングされたシリカ粒子およびメチル−イソチアゾリノンを含む組成物は、ポリSiおよびTEOS膜の両方の高い除去速度を示している。ポリアクリル酸アンモニウム濃度を好適に選択することによって、TEOS速度を、所望の除去速度選択性を与えるように調整することができる。
例2
研磨組成物が、表3に列挙された組成物に従って作られた。これらの配合物には、焼成されたセリア粒子を研磨剤として用いた。
Figure 2018150520
ウエハは、4.7psiの下向き力と87rpmのテーブル速度で研磨された。表4に除去速度のデータがまとめられている。
Figure 2018150520
比較の組成物6は、メチルイソチアゾリノン化合物を含んでおらず、非常に低いポリSi除去速度を与えるが、しかしながら高いTEOS除去速度を与え、0.127のポリSi/TEOS選択性をもたらした。
これらのデータから明らかなように、組成物7および8はメチルイソチアゾリノン化合物を含んでおり、非常に高いポリSi除去速度を示した。また、組成物7および8は、ポリSiおよびTEOS膜の間で1:1の除去速度選択性を達成し、これは特定の用途では望ましい可能性がある。
例3
1質量%のFuso PL2粒子、500ppmのメチルイソチアゾリノン、0.2質量%のポリアクリル酸アンモニウム(分子量が1000〜5000)、および水を含む組成物9〜12が作られた。
Figure 2018150520
これらの配合物のpHは、水酸化アンモニウムを用いて、それぞれ6、7、8および10の値に調整された。
表5に、4psiおよび85rpmで得られた研磨データがまとめられている。
表5から明らかなように、pHの増加によって、TEOSおよびSiN除去速度に悪影響を及ぼすことなく、ポリSi速度を増加させる。従って、ポリSiおよびTEOSもしくはSiNの間の除去速度選択性もまた増加する。
例4
組成物13が作られ、1質量%の、30nmの粒子径を有するアルミニウム含有シリカ粒子、0.2質量%のポリアクリル酸アンモニウム(分子量が1000〜5000)、および0.05質量%のメチルイソチアゾリノンを含み、5のpHを有していた。
4psiの下向き力および85rpmでの、ポリSi、TEOSおよびSiNの除去速度は、それぞれ1870Å/分、29Å/分および33Å/分であった。
アルミニウム含有シリカ粒子およびメチルイソチアゾリノンを含む組成物は、ポリSiの高い除去速度およびTEOSもしくはSiN膜の低い除去速度を示した。
例5
組成物14が作られ、1質量%の、30nmの粒子径を有するFuso PL2シリカ粒子、0.05質量%のメチルイソチアゾリノンおよび水を含み、pH5に調整されたpHを有していた。
4.5psiの下向き力および85rpmでのポリSi、TEOSおよびSiNの除去速度は、それぞれ2363Å/分、34Å/分および23Å/分であった。
このことは、研磨剤粒子にメチルイソチアゾリノンを単独で添加すると、高いポリSi除去速度をもたらすことを示している。この組成物は、TEOSまたはSiN膜の低い除去速度を有している。
例6
組成物が作られ、表6に記載されているように、セリア含有研磨剤粒子(セリアコーティングされたシリカ粒子)およびシリカ粒子の組み合わせを含んでいた。
セリアコーティングされたシリカ粒子は、日揮触媒化成株式会社(神奈川県川崎市幸区堀川町580番地、ソリッドスクエア東館16階、212-0013、日本)によって製造された。
Figure 2018150520
4psiの下向き力および85rpmのテーブル速度で、ポリSi、TEOSおよびSiN膜で得られた除去速度が、表7にまとめられている。
例15に示されているように、セリア含有研磨剤粒子(セリアコーティングシリカ粒子)は、ポリSi除去速度に対して、高い酸化ケイ素除去速度を与えた。
Figure 2018150520
しかしながら、シリカ粒子(Fuso LtdのPL-2Lシリカ粒子)の添加によって、ポリSi除去速度の実質的な増加があり、2.57までのTEOSに対するポリSiの除去速度選択性をもたらす。また、セリア含有粒子(セリアコーティングされたシリカ粒子)およびシリカ粒子の組み合わせは、ポリSiの窒化ケイ素に対する除去速度選択性の有意な向上を与えた。
実施例中の組成物は、0.1〜110の範囲の、元素状ケイ素および酸化ケイ素もしくはSiNの間の、優れた除去速度選択性を示している。この調整可能な選択性は、半導体プロセスにおいては、非常に有用である。
上述の例および態様の説明は、特許請求の範囲によって規定される本発明を限定するものではなく、例示として理解されなければならない。容易に理解されるように、特許請求の範囲に記載された本発明から逸脱することなく、上述した特徴の多くの変形および組み合わせを用いることができる。そのような変形は、以下の特許請求の範囲の範囲内に含まれることが意図されている。

Claims (22)

  1. 0.01質量%〜15質量%の範囲の研磨剤粒子;
    0.001質量%〜0.5質量%の範囲の、元素状ケイ素を含む膜の除去速度を高める化合物;および、
    液体キャリア;を含んでなる研磨組成物であって、前記研磨組成物のpHが2〜12の範囲であり、
    前記研磨剤粒子が、ヒュームドシリカ、コロイド状シリカ、ヒュームドアルミナ、コロイド状アルミナ、酸化セリウム、セリア−シリカ複合粒子、二酸化チタン、酸化ジルコニウム、ポリスチレン、ポリメタクリル酸メチル、マイカ、水和ケイ酸アルミニウムおよびそれらの混合物からなる群から選択され、そして、
    元素状ケイ素を含む膜の除去速度を高める前記化合物が、(i)硫黄または窒素または硫黄と窒素の両方をヘテロ原子として含み、かつ環構造に結合したカルボニル基を含むヘテロ環式炭素化合物、(ii)硫黄または窒素または硫黄と窒素の両方をヘテロ原子として含むヘテロ環式炭素化合物、(iii)アルデヒドまたはケトン化合物、ならびにそれらの組合わせからなる群から選択される、
    研磨組成物。
  2. 元素状ケイ素を含む膜の除去速度を高める前記化合物が、イソチアゾリノンおよび誘導体、チアゾリノンおよび誘導体からなる群から選択される、請求項1記載の研磨組成物。
  3. 前記イソチアゾリノンおよび誘導体が、メチルイソチアゾリノン(MIT),クロロメチルイソチアゾリノン(CMIT)、ベンズイソチアゾリノン(BIT)、オクチルイソチアゾリノン(OIT),ジクロロオクチルイソチアゾリノン(DCOIT)およびブチルベンズイソチアゾリノン(BBIT)およびそれらの組合わせからなる群から選択され、そして前記チアゾリノンおよび誘導体が、2−ベンゾチアゾール−1,1,3−トリオン(サッカリン)、N−メチル2−ベンゾチアゾリノン、チアゾリノン、およびそれらの組合わせからなる群から選択される、請求項2記載の研磨組成物。
  4. 元素状ケイ素を含む膜の除去速度を高める前記化合物が、イミダゾリジンおよび誘導体、ピロゾリジン(pyrozolidine)および誘導体、イミダゾールおよび誘導体、ピラゾールおよび誘導体、チアゾールおよび誘導体、イソチアゾールおよび誘導体、チアゾリジンおよび誘導体、イソチアゾリジンおよび誘導体、ジチオランおよび誘導体、トリアゾールおよび誘導体、テトラゾールおよび誘導体、チアジアゾールおよび誘導体、およびそれらの組合わせからなる群から選択される、かあるいは、アセトン、ベンゾフェノン、アセトフェノン、アセチルアセトン、ブタノール、3−ヒドロキシブタナール、p−ニトロベンズアルデヒド、シンナムアルデヒド、バニリンおよびそれらの組合わせからなる群から選択される、請求項1記載の研磨組成物。
  5. 前記液体キャリアが、水であり、そして前記研磨組成物のpHが、4〜10の範囲である、請求項1記載の研磨組成物。
  6. 前記研磨剤粒子が、セリア−シリカ複合粒子およびコロイド状シリカ粒子を含む、請求項5記載の研磨組成物。
  7. 0.1ppm〜0.5質量%の、500〜100000の範囲の分子量を有する、アクリル酸基を含むポリマーまたは共重合体から選択された化合物、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、およびそれらの塩;有機スルホン酸およびそれらの塩;有機ホスホン酸およびそれらの塩;高分子量カルボン酸およびそれらの塩;高分子量スルホン酸およびそれらの塩;高分子量ホスホン酸およびそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、ヘテロ環式アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、ならびにそれらの組合わせからなる群から選択される官能基を有する化合物、を更に含む、請求項1記載の研磨組成物。
  8. アクリル酸基を含み、500〜100000の範囲の分子量を有するポリマーまたは共重合体を更に含む、請求項1記載の研磨組成物。
  9. アクリル酸基を含む前記ポリマーまたは共重合体が、ポリアクリル酸アンモニウムである、請求項7記載の研磨組成物。
  10. (1)有機カルボン酸およびそれらの塩、アミノ酸およびそれらの塩、アミドカルボン酸およびそれらの塩、N−アシルアミノ酸およびそれらの塩、有機スルホン酸およびそれらの塩;有機ホスホン酸およびそれらの塩;高分子量カルボン酸およびそれらの塩;高分子量スルホン酸およびそれらの塩;高分子量ホスホン酸およびそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、ヘテロ環式アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、ならびにそれらの組合わせからなる群から選択される官能基を有する添加剤、前記添加剤は、0.1ppm〜0.5質量%の範囲である、
    (2)水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、水酸化第四級有機アンモニウム(例えば、水酸化テトラメチルアンモニウム):ポリアクリル酸、クエン酸、酢酸、炭酸、ビシン、トリシン、トリス4−(2−ヒドロキシエチル)−1−ピペラジンエタンスルホン酸、N−シクロヘキシル−3−アミノプロパンスルホン酸、3−(N−モルフォリノ)プロパンスルホン酸、およびピペラジン−N,N−ビス(2−エタンスルホン酸)の塩、およびそれらの混合物からなる群から選択されるpH調整剤、前記pH調整剤は、0.0005質量%〜1質量%の範囲である、
    (3)a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤、およびそれらの混合物からなる群から選択される界面活性剤、前記界面活性剤は、0.001質量%〜約1質量%の範囲である、
    (4)貯蔵の間の細菌および真菌の成長を防止するための生物学的成長阻害剤または保存料、
    の少なくとも1つを更に含む、請求項1記載の研磨組成物。
  11. 元素状ケイ素を含む少なくとも1つの表面を含む半導体基材の化学機械平坦化のための研磨方法であって、以下の工程、
    元素状ケイ素を含む前記少なくとも1つの表面を研磨パッドと接触させる工程;
    研磨組成物を、元素状ケイ素を含む前記少なくとも1つの表面に供給する工程、
    前記研磨組成物は、
    0.01質量%〜15質量%の範囲の研磨剤粒子;
    0.001質量%〜0.5質量%の範囲の、元素状ケイ素を含む悪の除去速度を高める化合物;および、
    液体キャリア、を含み、
    前記研磨組成物のpHは2〜12の範囲であり、
    前記研磨剤粒子は、ヒュームドシリカ、コロイド状シリカ、ヒュームドアルミナ、コロイド状アルミナ、酸化セリウム、セリア−シリカ複合粒子、二酸化チタン、酸化ジルコニウム、ポリスチレン、ポリメタクリル酸メチル、マイカ、水和ケイ酸アルミニウムおよびそれらの混合物からなる群から選択され、そして、
    元素状ケイ素を含む膜の除去速度を高める前記化合物は、イソチアゾリノンおよび誘導体、チアゾリノンおよび誘導体、イミダゾリジンおよび誘導体、ピロゾリジン(pyrozolidine)および誘導体、イミダゾールおよび誘導体、ピラゾールおよび誘導体、チアゾールおよび誘導体、イソチアゾールおよび誘導体、チアゾリジンおよび誘導体、イソチアゾリジンおよび誘導体、ジチオランおよび誘導体、トリアゾールおよび誘導体、テトラゾールおよび誘導体、チアジアゾールおよび誘導体、アセトン、ベンゾフェノン、アセトフェノン、アセチルアセトン、ブタノール、3−ヒドロキシブタナール、p-nitrobenzenzaaldehyde、シンナムアルデヒド、バニリンおよびそれらの組合わせからなる群から選択される、ならびに、
    元素状ケイ素を含む前記少なくとも1つの表面を、前記研磨組成物で研磨する工程、
    を含んでなる方法。
  12. 元素状ケイ素を含む膜の除去速度を高める前記化合物が、(i)メチルイソチアゾリノン(MIT),クロロメチルイソチアゾリノン(CMIT)、ベンズイソチアゾリノン(BIT)、オクチルイソチアゾリノン(OIT),ジクロロオクチルイソチアゾリノン(DCOIT)およびブチルベンズイソチアゾリノン(BBIT)およびそれらの組合わせからなる群から選択されるイソチアゾリノンおよび誘導体、ならびに(ii)2−ベンゾチアゾール−1,1,3−トリオン(サッカリン)、N−メチル2−ベンゾチアゾリノン、チアゾリノンおよびそれらの組合わせからなる群から選択されるチアゾリノンおよび誘導体、
    からなる群から選択される、請求項11記載の方法。
  13. 前記研磨組成物が、メチルイソチアゾリノン(MIT)を含み、前記液体キャリアが水であり、そして前記研磨組成物のpHが4〜10の範囲である、請求項11記載の研磨組成物。
  14. 前記半導体基材が、酸化ケイ素、窒化ケイ素またはそれらの組合わせを含む少なくとも1つの表面を更に含み、そして、
    前記研磨組成物が、0.1ppm〜0.5質量%の、(i)500〜100000の範囲の分子量を有するポリアクリル酸またはその塩、(ii)有機カルボン酸およびそれらの塩、アミノ酸およびそれらの塩、アミドカルボン酸およびそれらの塩、N−アシルアミノ酸およびそれらの塩、有機スルホン酸およびそれらの塩;有機ホスホン酸およびそれらの塩;高分子量カルボン酸およびそれらの塩;高分子量スルホン酸およびそれらの塩;高分子量ホスホン酸およびそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、ヘテロ環式アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、ならびにそれらの組合わせ、あるいは(i)および(ii)の組み合わせ、を更に含み、
    元素状ケイ素および酸化ケイ素もしくは窒化ケイ素の間の除去速度選択性が、0.1〜110の範囲で調整可能である、
    請求項11記載の研磨方法。
  15. 前記研磨組成物が、メチルイソチアゾリノン(MIT)、500〜100000の範囲の分子量を有するポリアクリル酸アンモニウムを含み、前記液体キャリアが水であり、そして前記研磨組成物のpHが4〜10の範囲である、請求項13記載の研磨方法。
  16. 前記研磨組成物が、
    (1)水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、水酸化第四級有機アンモニウム(例えば、水酸化テトラメチルアンモニウム):ポリアクリル酸、クエン酸、酢酸、炭酸、ビシン、トリシン、トリス4−(2−ヒドロキシエチル)−1−ピペラジンエタンスルホン酸、N−シクロヘキシル−3−アミノプロパンスルホン酸、3−(N−モルフォリノ)プロパンスルホン酸、およびピペラジン−N,N−ビス(2−エタンスルホン酸)の塩、およびそれらの混合物からなる群から選択されるpH調整剤、前記pH調整剤は、0.0005質量%〜1質量%の範囲である、
    (2)a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤、およびそれらの混合物からなる群から選択される界面活性剤、前記界面活性剤は、0.001質量%〜約1質量%の範囲である、ならびに、
    (3)貯蔵の間の細菌および真菌の成長を防止するための生物学的成長阻害剤または保存料、
    の少なくとも1つを含む、請求項11記載の研磨方法。
  17. 元素状ケイ素を含む少なくとも1つの表面を含む半導体基材の化学機械研磨装置であって、
    前記半導体基材、
    研磨パッド、および、
    研磨組成物であって、
    0.01質量%〜15質量%の範囲の研磨剤粒子;
    0.01質量%〜0.5質量%の範囲の、元素状ケイ素を含む膜の除去速度を高める化合物、および、
    液体キャリア、を含む研磨剤組成物を含んでなり、
    前記研磨組成物のpHが2〜12の範囲であり、
    前記研磨剤粒子が、ヒュームドシリカ、コロイド状シリカ、ヒュームドアルミナ、コロイド状アルミナ、酸化セリウム、セリア−シリカ複合粒子、二酸化チタン、酸化ジルコニウム、ポリスチレン、ポリメタクリル酸メチル、マイカ、水和ケイ酸アルミニウムおよびそれらの混合物からなる群から選択され、そして元素状ケイ素を含む膜の除去速度を高める前記化合物が、イソチアゾリノンおよび誘導体、チアゾリノンおよび誘導体、イミダゾリジンおよび誘導体、ピロゾリジン(pyrozolidine)および誘導体、イミダゾールおよび誘導体、ピラゾールおよび誘導体、チアゾールおよび誘導体、イソチアゾールおよび誘導体、チアゾリジンおよび誘導体、イソチアゾリジンおよび誘導体、ジチオランおよび誘導体、トリアゾールおよび誘導体、テトラゾールおよび誘導体、チアジアゾールおよび誘導体、ならびにそれらの組合わせ;アセトン、ベンゾフェノン、アセトフェノン、アセチルアセトン、ブタノール、3−ヒドロキシブタナール、p-nitrobenzenzaaldehyde、シンナムアルデヒド、バニリンおよびそれらの組合わせからなる群から選択され、
    前記半導体基材が、前記組成物および前記パッドと接触される、
    装置。
  18. 元素状ケイ素を含む膜の除去速度を高める前記化合物が、(i)メチルイソチアゾリノン(MIT),クロロメチルイソチアゾリノン(CMIT)、ベンズイソチアゾリノン(BIT)、オクチルイソチアゾリノン(OIT),ジクロロオクチルイソチアゾリノン(DCOIT)、ブチルベンズイソチアゾリノン(BBIT)およびそれらの組合わせからなる群から選択されるイソチアゾリノンおよび誘導体、ならびに(ii)2−ベンゾチアゾール−1,1,3−トリオン(サッカリン)、N−メチル2−ベンゾチアゾリノン、チアゾリノンおよびそれらの組合わせからなる群から選択されるチアゾリノンおよび誘導体、ならびにそれらの組合わせ、からなる群から選択される、前記17記載の装置、
  19. 前記研磨組成物が、メチルイソチアゾリノン(MIT)を含み、前記液体キャリアが水であり、そして前記研磨組成物のpHが4〜10の範囲である、請求項17記載の装置。
  20. 前記半導体基材が、酸化ケイ素、窒化ケイ素またはそれらの組合わせを含む少なくとも1つの表面を更に含み、そして、
    前記研磨組成物が、0.1ppm〜0.5質量%の、(i)500〜100000の範囲の分子量を有するポリアクリル酸またはその塩、(ii)有機カルボン酸およびそれらの塩、アミノ酸およびそれらの塩、アミドカルボン酸およびそれらの塩、N−アシルアミノ酸およびそれらの塩、有機スルホン酸およびそれらの塩;有機ホスホン酸およびそれらの塩;高分子量カルボン酸およびそれらの塩;高分子量スルホン酸およびそれらの塩;高分子量ホスホン酸およびそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、ヘテロ環式アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、ならびにそれらの組合わせからなる群から選択される官能基を有する添加剤、あるいは(i)および(ii)の組み合わせ、を更に含み、
    0.1〜110の範囲で調整可能な、元素状ケイ素および酸化ケイ素もしくは窒化ケイ素の間の除去速度選択性を与える、
    請求項17記載の装置。
  21. 前記研磨組成物が、メチルイソチアゾリノン(MIT)、500〜100000の範囲の分子量を有するポリアクリル酸アンモニウムを含み、前記液体キャリアが水であり、そして前記研磨組成物のpHが4〜10の範囲である、請求項20記載の装置。
  22. 前記研磨組成物が、
    (1)水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、水酸化第四級有機アンモニウム(例えば、水酸化テトラメチルアンモニウム):ポリアクリル酸、クエン酸、酢酸、炭酸、ビシン、トリシン、トリス4−(2−ヒドロキシエチル)−1−ピペラジンエタンスルホン酸、N−シクロヘキシル−3−アミノプロパンスルホン酸、3−(N−モルフォリノ)プロパンスルホン酸、およびピペラジン−N,N−ビス(2−エタンスルホン酸)の塩、およびそれらの混合物からなる群から選択されるpH調整剤、前記pH調整剤は、0.0005質量%〜1質量%の範囲である、
    (2)a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤、およびそれらの混合物からなる群から選択される界面活性剤、前記界面活性剤は、0.001質量%〜約1質量%の範囲である、ならびに、
    (3)貯蔵の間の細菌および真菌の成長を防止するための生物学的成長阻害剤または保存料、
    の少なくとも1つを更に含む、請求項17記載の装置。
JP2018035003A 2017-02-28 2018-02-28 元素状ケイ素を含む膜の化学機械平坦化 Active JP6673954B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762464680P 2017-02-28 2017-02-28
US62/464,680 2017-02-28
US15/901,317 US20180244955A1 (en) 2017-02-28 2018-02-21 Chemical Mechanical Planarization of Films Comprising Elemental Silicon
US15/901,317 2018-02-21

Publications (2)

Publication Number Publication Date
JP2018150520A true JP2018150520A (ja) 2018-09-27
JP6673954B2 JP6673954B2 (ja) 2020-04-01

Family

ID=61526679

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018035003A Active JP6673954B2 (ja) 2017-02-28 2018-02-28 元素状ケイ素を含む膜の化学機械平坦化

Country Status (8)

Country Link
US (2) US20180244955A1 (ja)
EP (1) EP3366742A1 (ja)
JP (1) JP6673954B2 (ja)
KR (1) KR102118568B1 (ja)
CN (1) CN108504288B (ja)
IL (1) IL257765B2 (ja)
SG (1) SG10201801578TA (ja)
TW (1) TWI671393B (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200071567A1 (en) * 2018-09-04 2020-03-05 Fujimi Incorporated Polishing composition and polishing system
US20200102475A1 (en) * 2018-09-28 2020-04-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mecahnical polishing composition and method of polishing silcon dioxide over silicon nitiride
US10640681B1 (en) * 2018-10-20 2020-05-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for tungsten
US11608451B2 (en) 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
US11267987B2 (en) * 2019-10-30 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing slurry composition and method of polishing metal layer
CN113528085B (zh) * 2020-04-21 2022-07-01 Skc索密思株式会社 用于半导体工艺的液体组合物以及基板的研磨方法
US11180679B1 (en) 2020-05-27 2021-11-23 Skc Solmics Co., Ltd. Composition for semiconductor processing and method for polishing substrate using the same
CN113416493B (zh) * 2021-06-02 2022-09-20 万华化学集团电子材料有限公司 存储稳定的硅片抛光组合物的制备方法、组合物及其使用方法
CN113861848B (zh) * 2021-11-08 2022-07-12 万华化学集团电子材料有限公司 一种再生晶圆化学机械抛光液及其制备方法
CN114350264B (zh) * 2022-02-18 2023-06-02 河北工业大学 一种用于钴互连结构钴膜cmp粗抛的碱性抛光液及其制备方法
CN117050661B (zh) * 2023-06-21 2024-05-17 湖北兴福电子材料股份有限公司 一种绿色单晶硅粗抛光液

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002164308A (ja) * 2000-11-24 2002-06-07 Nec Corp 化学的機械的研磨用スラリー
JP2003313542A (ja) * 2002-04-22 2003-11-06 Jsr Corp 化学機械研磨用水系分散体
JP2004526301A (ja) * 2001-01-12 2004-08-26 ロデール ホールディングス インコーポレイテッド 半導体基板の研磨
JP2008539581A (ja) * 2005-04-28 2008-11-13 テクノ セミケム シーオー., エルティーディー. 高段差酸化膜の平坦化のための自動研磨停止機能を有する化学機械的研磨組成物
US20090156006A1 (en) * 2006-05-02 2009-06-18 Sriram Anjur Compositions and methods for cmp of semiconductor materials
JP2011108811A (ja) * 2009-11-17 2011-06-02 Asahi Glass Co Ltd 研磨剤、研磨剤セットおよび研磨方法
CN102408836A (zh) * 2011-10-20 2012-04-11 天津理工大学 一种用于氧化钛薄膜化学机械平坦化的纳米抛光液及应用
JP2012182299A (ja) * 2011-03-01 2012-09-20 Hitachi Chem Co Ltd 半導体基板用研磨液及び半導体基板の研磨方法
JP2014505358A (ja) * 2010-12-17 2014-02-27 キャボット マイクロエレクトロニクス コーポレイション ポリシリコンの研磨用組成物及び研磨方法
CN103965789A (zh) * 2014-04-18 2014-08-06 烟台恒迪克能源科技有限公司 一种非金属悬浮抛光液及其制备方法
CN104745093A (zh) * 2013-12-26 2015-07-01 安集微电子(上海)有限公司 一种化学机械抛光液
JP2016030831A (ja) * 2014-07-25 2016-03-07 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated コバルト含有基板の化学的機械的研磨(cmp)
EP3101076A1 (en) * 2015-06-05 2016-12-07 Air Products And Chemicals, Inc. Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3429080A (en) 1966-05-02 1969-02-25 Tizon Chem Corp Composition for polishing crystalline silicon and germanium and process
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US6533832B2 (en) 1998-06-26 2003-03-18 Cabot Microelectronics Corporation Chemical mechanical polishing slurry and method for using same
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
JP2007088379A (ja) * 2005-09-26 2007-04-05 Fujifilm Corp 水系研磨液、及び、化学機械的研磨方法
JP5182483B2 (ja) * 2005-12-16 2013-04-17 Jsr株式会社 化学機械研磨用水系分散体および化学機械研磨方法、ならびに化学機械研磨用水系分散体を調製するためのキット
US7585340B2 (en) 2006-04-27 2009-09-08 Cabot Microelectronics Corporation Polishing composition containing polyether amine
CN101358125B (zh) * 2007-08-03 2013-07-10 安集微电子(上海)有限公司 一种浓缩化学机械平坦化浆料产品及其使用方法
US8247327B2 (en) 2008-07-30 2012-08-21 Cabot Microelectronics Corporation Methods and compositions for polishing silicon-containing substrates
JP2011008811A (ja) 2010-08-16 2011-01-13 Fujitsu Ltd プログラム、及びデータ抽出方法
WO2013017139A1 (en) 2011-08-01 2013-02-07 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e. V. An rf coil assembly for mri with a plurality of coil elements distributed over at least two coil rows
CN102441819B (zh) * 2011-10-20 2014-03-19 天津理工大学 一种用于硫系相变材料的化学机械抛光方法
US8435420B1 (en) 2011-10-27 2013-05-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing using tunable polishing formulation
US8545715B1 (en) * 2012-10-09 2013-10-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method
KR101983755B1 (ko) 2015-03-31 2019-05-29 니끼 쇼꾸바이 카세이 가부시키가이샤 실리카계 복합 미립자 분산액, 그의 제조 방법 및 실리카계 복합 미립자 분산액을 포함하는 연마용 슬러리

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002164308A (ja) * 2000-11-24 2002-06-07 Nec Corp 化学的機械的研磨用スラリー
JP2004526301A (ja) * 2001-01-12 2004-08-26 ロデール ホールディングス インコーポレイテッド 半導体基板の研磨
JP2003313542A (ja) * 2002-04-22 2003-11-06 Jsr Corp 化学機械研磨用水系分散体
JP2008539581A (ja) * 2005-04-28 2008-11-13 テクノ セミケム シーオー., エルティーディー. 高段差酸化膜の平坦化のための自動研磨停止機能を有する化学機械的研磨組成物
US20090156006A1 (en) * 2006-05-02 2009-06-18 Sriram Anjur Compositions and methods for cmp of semiconductor materials
JP2011108811A (ja) * 2009-11-17 2011-06-02 Asahi Glass Co Ltd 研磨剤、研磨剤セットおよび研磨方法
JP2014505358A (ja) * 2010-12-17 2014-02-27 キャボット マイクロエレクトロニクス コーポレイション ポリシリコンの研磨用組成物及び研磨方法
JP2012182299A (ja) * 2011-03-01 2012-09-20 Hitachi Chem Co Ltd 半導体基板用研磨液及び半導体基板の研磨方法
CN102408836A (zh) * 2011-10-20 2012-04-11 天津理工大学 一种用于氧化钛薄膜化学机械平坦化的纳米抛光液及应用
CN104745093A (zh) * 2013-12-26 2015-07-01 安集微电子(上海)有限公司 一种化学机械抛光液
CN103965789A (zh) * 2014-04-18 2014-08-06 烟台恒迪克能源科技有限公司 一种非金属悬浮抛光液及其制备方法
JP2016030831A (ja) * 2014-07-25 2016-03-07 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated コバルト含有基板の化学的機械的研磨(cmp)
EP3101076A1 (en) * 2015-06-05 2016-12-07 Air Products And Chemicals, Inc. Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives

Also Published As

Publication number Publication date
SG10201801578TA (en) 2018-09-27
US11111415B2 (en) 2021-09-07
IL257765A (en) 2018-04-30
KR102118568B1 (ko) 2020-06-03
TWI671393B (zh) 2019-09-11
EP3366742A1 (en) 2018-08-29
IL257765B1 (en) 2023-12-01
JP6673954B2 (ja) 2020-04-01
KR20180099570A (ko) 2018-09-05
US20200032108A1 (en) 2020-01-30
US20180244955A1 (en) 2018-08-30
TW201833292A (zh) 2018-09-16
IL257765B2 (en) 2024-04-01
CN108504288A (zh) 2018-09-07
CN108504288B (zh) 2021-10-15

Similar Documents

Publication Publication Date Title
JP6673954B2 (ja) 元素状ケイ素を含む膜の化学機械平坦化
JP6480394B2 (ja) ストップ‐オンシリコンコーティング層添加剤
TWI731273B (zh) 複合粒子、其精製方法及用途
JP6581198B2 (ja) 化学機械平坦化組成物用の複合研磨粒子及びその使用方法
TWI736796B (zh) 複合粒子、其精製方法及用途
JP6246263B2 (ja) 酸化ケイ素および窒化ケイ素の少なくとも1種とポリシリコンとを含む基体を研磨する方法
JP2005167204A (ja) アスパラギン酸/トリルトリアゾールを用いる化学的機械的平坦化のための調整可能な組成物および方法
JP6002983B2 (ja) 調整可能な絶縁体研磨選択比を有するスラリー組成物及び基板研磨方法
TWI785220B (zh) 供使用在釕和銅材料的研磨組成物及用於從半導體元件研磨和移除釕的方法
TWI732952B (zh) 一種氮化矽化學機械研磨液
JP2024516576A (ja) 誘電材料を研磨するためのcmp組成物
TWI750234B (zh) 一種氮化矽化學機械研磨液
TWI518157B (zh) 具有可調介電質研磨選擇性之漿液組成物及研磨基板之方法
TW202026392A (zh) 化學機械拋光液及其應用
JP2009065001A (ja) 化学機械研磨用水系分散体、該分散体を調製するためのキット、および化学機械研磨用水系分散体の調製方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180510

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190205

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190802

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200204

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200305

R150 Certificate of patent or registration of utility model

Ref document number: 6673954

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250