US20180244955A1 - Chemical Mechanical Planarization of Films Comprising Elemental Silicon - Google Patents

Chemical Mechanical Planarization of Films Comprising Elemental Silicon Download PDF

Info

Publication number
US20180244955A1
US20180244955A1 US15/901,317 US201815901317A US2018244955A1 US 20180244955 A1 US20180244955 A1 US 20180244955A1 US 201815901317 A US201815901317 A US 201815901317A US 2018244955 A1 US2018244955 A1 US 2018244955A1
Authority
US
United States
Prior art keywords
derivatives
salts
group
polishing composition
acids
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/901,317
Other languages
English (en)
Inventor
James Matthew Henry
Hongjun Zhou
Krishna P. Murella
Dnyanesh Chandrakant Tamboli
Joseph Rose
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Priority to US15/901,317 priority Critical patent/US20180244955A1/en
Priority to TW107106464A priority patent/TWI671393B/zh
Priority to SG10201801578TA priority patent/SG10201801578TA/en
Priority to IL257765A priority patent/IL257765B2/en
Priority to EP18159327.8A priority patent/EP3366742A1/en
Priority to KR1020180024853A priority patent/KR102118568B1/ko
Priority to CN201810166584.8A priority patent/CN108504288B/zh
Priority to JP2018035003A priority patent/JP6673954B2/ja
Assigned to VERSUM MATERIALS US, LLC reassignment VERSUM MATERIALS US, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: Rose, Joseph, TAMBOLI, DNYANESH CHANDRAKANT, HENRY, JAMES MATTHEW, MURELLA, KRISHNA P., ZHOU, HONGJUN
Publication of US20180244955A1 publication Critical patent/US20180244955A1/en
Priority to US16/593,268 priority patent/US11111415B2/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • B24B37/245Pads with fixed abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment

Definitions

  • the present invention relates to chemical mechanical planarization (“CMP”) polishing compositions (CMP slurries, CMP composition or CMP compositions are used interchangeably) used in the production of a semiconductor device, and polishing methods for carrying out chemical mechanical planarization.
  • CMP chemical mechanical planarization
  • polishing compositions for polishing of films comprising elemental forms of silicon such as poly-Si, amorphous Si or Si—Ge.
  • Si containing films have been used in semiconductor industry for a long time. There have many approaches taken to polish Si containing films at high removal rates.
  • U.S. Pat. No. 3,429,080 discloses using oxidizing agents to boost removal rates of crystalline Si films.
  • US2013109182 disclose compositions comprising diquaternary cations for polishing poly-Si films at high rates relative to silicon nitride.
  • U.S. Pat. No. 6,533,832 describes increased selectivity to poly-Si polishing using alcohol amine compounds.
  • U.S. Pat. No. 7,585,340 discloses compositions comprising polyetheramine compounds that yield high poly Si removal rates relative to other films.
  • polishing compositions and methods that can provide high removal rates for films comprising elemental silicon and a controlled selectivity of removal rates between films comprising elemental silicon and other films such as silicon oxide and silicon nitride.
  • the compositions and methods of this invention provides these inventive features as it will be apparent from the description of the invention provided herein.
  • Described herein are silicon containing material CMP polishing compositions, methods and systems that satisfy the need.
  • a polishing composition comprising abrasive particles, a liquid carrier and a compound to boost the removal rates of films comprising elemental silicon, which is selected from a group consisting of (i) heterocycle carbon compound comprising sulfur or nitrogen or both sulfur and nitrogen as heteroatoms and a carbonyl group attached to the ring structure (ii) Heterocycle carbon compound comprising sulfur or nitrogen or both sulfur and nitrogen as heteroatoms (iii) Aldehyde or ketone compound.
  • the compound to boost the removal rates of films comprising elemental silicon is a heterocyclic carbon compound comprising both sulfur and nitrogen heteroatoms along with a carbonyl group attached to the carbon ring.
  • the compound to boost the removal rates of films comprising elemental silicon is a isothiazolinone compound.
  • isothiazolinone compounds include but not limited to methylisothiazolinone (MIT), chloromethylisothiazolinone (OMIT), benzisothiazolinone (BIT), octylisothiazolinone (OIT), dichlorooctylisothiazolinone (DCOIT) and butylbenzisothiazolinone (BBIT).
  • the polishing composition comprises one or more abrasive particle selected from a group consisting of silicon oxide, cerium oxide or a composite particle comprising silicon oxide and cerium oxide; and methylisothiazolinone as the compound to boost removal rates of films comprising elemental silicon.
  • the polishing composition comprises one or more abrasive particle selected from a group consisting of silicon oxide, cerium oxide or a composite particle comprising silicon oxide and cerium oxide; methylisothiazolinone as the compound to boost removal rates of films comprising elemental silicon; and an additive to suppress removal rate of silicon nitride films.
  • the polishing composition comprises one or more abrasive particle selected from a group consisting of silicon oxide, cerium oxide or a composite particle comprising silicon oxide and cerium oxide; methylisothiazolinone as the compound to boost removal rates of films comprising elemental silicon; and a polymer or co-polymer containing acrylic acid group.
  • the polishing composition comprises a cerium oxide containing abrasive, a silica abrasive, methylisothiazolinone as the compound to boost removal rates of films comprising elemental silicon; and a polymer or co-polymer containing acrylic acid group as an additive to suppress removal rate of silicon nitride films.
  • Polishing compositions may also comprise other types of additives such as surfactants, dispersants, corrosion inhibitors, biocides, pH adjusting agents, pH buffering compounds, etc.
  • Polishing compositions of this invention may comprise abrasive particles in the concentration range of 0.01 wt. % to about 15 wt. %, or more preferably between 0.1 wt. % to about 5 wt. %, or most preferably between 0.2 wt. % to about 3 wt. %.
  • Compound to boost removal rate of films comprising elemental silicon may be present in the range of 0.0001 wt. % to 1 wt. %, or more preferably between 0.001 wt. % to 0.5 wt. %, or most preferably between 0.01 wt. % and 0.2 wt. %.
  • pH of the polishing composition is between 1 and 13, preferably between 2 and 12 and more preferably between 3 and 11.
  • the present invention provides a polishing composition comprising:
  • the present invention provides a polishing method for chemical mechanical planarization of a semiconductor substrate comprising at least one surface containing elemental silicon, comprising the steps of:
  • the present invention provides a polishing system for chemical mechanical planarization a semiconductor substrate comprising at least one surface containing elemental silicon, comprising:
  • the compound to enhance removal rate of films comprises elemental silicon can be selected from a group consisting of (i) isothiazolinone and derivative selected from the group consisting of methylisothiazolinone (MIT), chloromethylisothiazolinone (OMIT), benzisothiazolinone (BIT), octylisothiazolinone (OIT), dichlorooctylisothiazolinone (DCOIT), butylbenzisothiazolinone (BBIT), and combinations thereof; and (ii) thiazolinone and derivative selected from the group consisting of 2-Benzothiazol-1,1,3-trione (Saccharin), N-methyl 2-Benzothiazolinone, thiazolinone, and combinations thereof; and combinations thereof.
  • MIT methylisothiazolinone
  • OMIT chloromethylisothiazolinone
  • BIT benzisothiazolinone
  • OIT octylisothi
  • the semiconductor substrate further comprises at least one surface containing silicon oxide, silicon nitride or combinations thereof; and the polishing composition further comprises from 0.1 ppm to 0.5 wt. % of an additive having a functional group selected from the group consisting of organic carboxylic acids and salts thereof, amino acids and salts thereof, amidocarboxylic acids and salts thereof, N-acylamino acids and salts thereof, organic sulfonic acids and salts thereof; organic phosphonic acids and salts thereof; polymeric carboxylic acids and salts thereof; polymeric sulfonic acids and salts thereof; polymeric phosphonic acids and salts thereof; arylamines, aminoalcohols, aliphatic amines, heterocyclic amines, hydroxamic acids, substituted phenols, sulfonamides, thiols, polyols having hydroxyl groups, and combinations thereof; or combinations of (i) and (ii);
  • the disclosed method and the system can provide a tunable removal rate selectivity between elemental silicon and silicon oxide or silicon nitride between 0.1 to 110.
  • Polishing compositions of this invention are useful for polishing films comprising elemental silicon at high removal rates.
  • Films comprising elemental silicon comprise various types of films containing silicon in which chemical bonding of silicon with other elements is substantially absent.
  • Films comprising elemental silicon include various crystalline forms of silicon such as polycrystalline (also referred to as poly-Si), amorphous silicon (referred to as a-Si) or single crystal.
  • Films comprising elemental silicon may also comprise various doping or alloying additives.
  • doping or alloying additives include but not limited to germanium, phosphorous, boron, aluminum, nitrogen, gallium, indium, arsenic, antimony, lithium, xenon, gold, platinum.
  • the relative ratio of atoms between the doping or alloying additives and I silicon in the film could range from 1E-10 to 99.99999.
  • polishing compositions are used to polish two or more films simultaneously wherein at least one of the films comprises elemental silicon and at least one other film comprises a compound of silicon.
  • Film comprising compound of silicon may comprise various types films including but not limited to silicon oxide, silicon nitride, silicon carbide, silicon oxy-carbide, silicon oxy-nitride.
  • silicon oxide films include but not limited to thermal oxide, Tetra Ethyl Ortho Silicate (TEOS), High Density Plasma (HDP) oxide, High Aspect Ratio Process (HARP) films, fluorinated oxide films, doped oxide films, organosilicate glass (OSG) low-K dielectric films, Spin-On Glass (SOG), flowable Chemical Vapor Deposited (CVD) films, optical glass, display glass.
  • silicon oxide films include but not limited to thermal oxide, Tetra Ethyl Ortho Silicate (TEOS), High Density Plasma (HDP) oxide, High Aspect Ratio Process (HARP) films, fluorinated oxide films, doped oxide films, organosilicate glass (OSG) low-K dielectric films, Spin-On Glass (SOG), flowable Chemical Vapor Deposited (CVD
  • Polishing compositions of this invention can achieve a range of removal rate selectivity between the films comprising elemental silicon and films comprising compounds of silicon.
  • removal rates of films comprising elemental silicon can be very high compared to films comprising compounds of silicon.
  • polishing composition can polish poly-Si at very high rates compared to silicon oxide and/or silicon nitride films with removal rate selectivity greater than 20.
  • polishing compositions can polish both poly-Si and silicon oxide at very high rates, with removal rate selectivity between poly-Si and silicon oxide less than 5.
  • all the three films poly-Si, silicon oxide and silicon nitride are all polished at comparable removal rates, wherein the ratio of removal rates between any two films is less than 5.
  • poly-Si films are polished at removal rates which are 1.5 times or more preferably more than 2 times silicon oxide removal rate, while the removal rate selectivity between poly-Si and SiN is greater than 25.
  • polishing compositions of this invention may be used to polish films comprising elemental silicon at removal rates greater than 500 ⁇ /min, or more preferably more than 1000 ⁇ /min, or most preferably more than 1500 ⁇ /min. In some embodiments, removal rates of films comprising elemental silicon is greater than 1000 ⁇ /min, while the silicon oxide removal rate is less than 50 ⁇ /min. In some embodiments, removal rates of films comprising elemental silicon is greater than 1000 ⁇ /min, while the silicon oxide removal rate is greater than 500 ⁇ /min.
  • compositions can be used in a variety of applications including but not limited to Shallow Trench Isolation (STI), Inter Layer Dielectric (ILD) polish, Inter Metal Dielectric (IMD) polish, through silicon via (TSV) polish and bare wafer polishing.
  • STI Shallow Trench Isolation
  • ILD Inter Layer Dielectric
  • IMD Inter Metal Dielectric
  • TSV through silicon via
  • a polishing composition comprising abrasive particles, a liquid carrier and a compound to boost the removal rates of films comprising elemental silicon, which is selected from a group consisting of (i) heterocycle carbon compound comprising sulfur or nitrogen or both sulfur and nitrogen as heteroatoms and a carbonyl group attached to the ring structure (ii) heterocycle carbon compound comprising sulfur or nitrogen or both sulfur and nitrogen as heteroatoms (iii) aldehyde or a ketone compound.
  • Heterocyclic carbon compound can be defined as a cyclic compound or a ring structure with at least two different elements including carbon. Heteroatoms may comprise one or more atoms selected from carbon, nitrogen and oxygen. Heterocyclic ring may comprise 3 to 7 atoms as members. Heterocyclic ring may also be fused with benzene rings.
  • heterocycle carbon compounds having both carbon and nitrogen and a carbonyl group attached to the ring include but not limited to isothiazolinone, thiazolinone and their derivative compounds.
  • Examples of chemical isothiazolinone compounds include but not limited to methylisothiazolinone (MIT), chloromethylisothiazolinone (OMIT), benzisothiazolinone (BIT), octylisothiazolinone (OIT), dichlorooctylisothiazolinone (DCOIT) and butylbenzisothiazolinone (BBIT).
  • MIT methylisothiazolinone
  • OMIT chloromethylisothiazolinone
  • BIT benzisothiazolinone
  • OIT octylisothiazolinone
  • DCOIT dichlorooctylisothiazolinone
  • BBIT butylbenzisothiazolinone
  • thiazolinone compounds include but not limited to 2-Benzothiazol-1,1,3-trione (Saccharin), N-methyl 2-Benzothiazolinone and thiazolinone.
  • heterocycle carbon compounds comprising sulfur or nitrogen or both as heteroatoms include but not limited to imidazolidine, pyrozolidine, imidazole, pyrazole, thiazole, isothiazole, thiazolidine, isothiazolidine, dithiolane, triazole, tetrazole, thiadiazole, and derivatives thereof.
  • aldehyde or a ketone compound examples include but not limited to acetone, benzophenone, acetophenone, acetylacetone, butanol, 3-hydroxybutanal, p-nitrobenzenzaaldehyde, cinnamaldehyde, vanillin.
  • Compound to boost removal rate of films comprising elemental Si may be present in the CMP composition in the range of 0.0001 wt. % to 1 wt. %, or more preferably between 0.001 wt. % to 0.5 wt. %, or most preferably between 0.01 wt. % and 0.2 wt. %.
  • CMP compositions of this invention comprise abrasive particles.
  • Abrasive particles can be selected from a wide range of particles, but not limited to fumed silica, colloidal silica, silica doped with aluminum, fumed alumina, colloidal alumina, cerium oxide, ceria-silica composite particles, titanium dioxide, zirconium oxide, polystyrene, polymethyl methacrylate, mica, hydrated aluminum silicate, and mixtures thereof.
  • Polishing compositions comprising silica or alumina particles may be preferred for polishing films comprising elemental silicon at higher removal rate selectivity relative to silicon oxide films.
  • Polishing compositions comprising silica or alumina particles for example may be used to polish wafers when desired removal rate selectivity between films comprising elemental silicon and silicon oxide films is greater than 20, or more preferably greater than 30 or most preferably more than 50.
  • Polishing compositions comprising cerium oxide or ceria-silica composite particles may be more suitable for applications which require high removal rates for both elemental silicon films and silicon oxide films.
  • Polishing compositions comprising cerium oxide or ceria-silica composite particles for example may be used to polish wafers when desired removal rate selectivity between films comprising elemental silicon and silicon oxide films is less than 10, or more preferably less than 5 or most preferably less than 2.
  • the mean particle size of abrasive particles can be measured by a suitable technique such as dynamic light scattering.
  • the mean particle size of silica and alumina particles can be between 10 nm and 500 nm, more preferably between 20 nm and 150 nm, most preferably between 30 and 80 nm.
  • the mean particle size ceria or ceria-silica composite particles can be between 10 nm and 5000 nm, or more preferably between 50 nm and 300 nm and most preferably between 75 nm and 200 nm.
  • Ceria particles may be manufactured by any suitable techniques including calcination-milling or colloid formation through liquid processing. Ceria particles may be single crystalline or polycrystalline. In certain cases the surface may also be in hydroxylated form.
  • composite particles such as ceria-silica composite particles with ceria coated on the surface of silica particle core may be preferred.
  • Ceria coating on silica core may be in the form of continuous shell like structure or in the form of discreet ceria nano particles on the surface of silica particles.
  • silica core particles are amorphous; and the ceria nanoparticles are singly crystalline.
  • the ceria coated silica particles would comprise a silica core with mean particle size in the range of 50 to 200 nm, decorated with ceria nano particles of size as measured by transmission electron microscope imaging is between 1 nm and 30 nm, or more preferably between 10 nm and 20 nm.
  • the ceria nano particles covering the silica core particle may have a partial silica coating on the surface.
  • Ceria-silica composite particles can be manufactured by the methods described by any suitable method.
  • a method described in WO2016159167 may be especially suitable for manufacturing ceria coated silica particles for improved performance.
  • Another aspect of the present invention involves using of ceria coated silica particles that do not disintegrate under polishing forces. It is hypothesized that if the particles do not breakdown under the action of polishing forces (i.e. disintegrative forces) and keep the characteristic of original particle size, then the removal rate would remain high. If the particles on the other hand disintegrates under polishing forces, the removal rate would decrease owing to effectively smaller abrasive particle size. Breaking of the particles may also yield irregular shaped particles which may have undesirable effect on scratching defects. Particle stability under disintegrative forces can also be determined by subjecting the composition to the ultrasonication treatment for half an hour and measuring the changes in size distribution.
  • Preferred conditions for ultrasonication treatment are 1 ⁇ 2 hour immersion in bath with 42 KHZ frequency at 100 W output.
  • Particle size distribution can be measured by using any suitable technique such as Disc Centrifuge (DC) method or Dynamic Light Scattering (DLS). Changes in size distribution can be characterized in terms of changes in mean particle size or D50 (50% particles below this size) or D99 (99% particles below this size) or any similar parameters.
  • the changes in particle size distribution of ceria coated silica particles after ultrasonication treatment is less than 10%, more preferably less than 5% or most preferably less than 2%; by using for example DC and mean particle size, D50, D75 and/or D99.
  • Using such stable particles in CMP slurry compositions would allow more effective utilization of polishing forces for film material removal and would also prevent generation of any irregular shapes that would contribute to scratching defects
  • the compositions comprise abrasive particles that have less than 5 ppm, more preferably less than 1 ppm most preferably less than 0.5 ppm of sodium impurity levels for each percent of particles in the compositions by weight.
  • Polishing compositions of this invention may comprise abrasive particles in the concentration range of 0.001 wt. % to about 15 wt. %, or more preferably between 0.01 wt. % to about 5 wt. %, or most preferably between 0.05 wt. % to about 3 wt. %.
  • both elemental Si containing films and silicon oxide films need to be polished at high rates (>500 ⁇ /min at 4 psi downforce) while at the same time remove elemental silicon films at rates which are preferably 1.5 times or more preferably more than 2 times faster than the removal rate of silicon oxide films.
  • Polishing compositions for this embodiment comprise at least two types of abrasive particles, wherein first particle is a cerium oxide containing abrasive particles and second particle is silica; a removal rate booster for elemental silicon films comprising isothiazolinone and thiazolinone compounds.
  • Concentration of cerium oxide containing abrasive particles may preferably between 0.01 to 5 wt. %, or more preferably between 0.02 and 1 wt. %.
  • Concentration of silica particles is preferably between 0.01 and 5 wt. % or more preferably between 0.1 and 2 wt. %.
  • Some other embodiments may additionally require removal rates of silicon nitride films may be much lower compared to elemental silicon and silicon oxide films.
  • Polishing compositions for these embodiments would additionally comprise compounds to suppress silicon nitride removal rate.
  • additives may include, but is not limited to compounds having a functional group selected from the group consisting of organic carboxylic acids, amino acids, amidocarboxylic acids, N-acylamino acids, and their salts thereof; organic sulfonic acids and salts thereof; organic phosphonic acids and salts thereof; polymeric carboxylic acids and salts thereof; polymeric sulfonic acids and salts thereof; polymeric phosphonic acids and salts thereof; arylamines, aminoalcohols, aliphatic amines, heterocyclic amines, hydroxamic acids, substituted phenols, sulfonamides, thiols, polyols having hydroxyl groups, and combinations thereof.
  • Preferred additive are polymers or copolymers comprising acrylic acid groups.
  • a preferred polymer is ammonium polyacrylate.
  • elemental silicon films can be polished at high rates along with metallic films (for example copper, tungsten) as is required for polishing applications such as through-Via-Silicon (TSV) CMP.
  • metallic films for example copper, tungsten
  • Liquid carrier in the CMP composition may comprise water.
  • Polishing compositions may also comprise other types of chemical additives for additional purposes such as boosting oxide rates, reducing silicon nitride rates, boosting removal rate of metallic films, corrosion inhibitors for metallic films, etc.
  • additives may include, but is not limited to compounds having a functional group selected from the group consisting of organic carboxylic acids, amino acids, amidocarboxylic acids, N-acylamino acids, and their salts thereof; organic sulfonic acids and salts thereof; organic phosphonic acids and salts thereof; polymeric carboxylic acids and salts thereof; polymeric sulfonic acids and salts thereof; polymeric phosphonic acids and salts thereof; arylamines, aminoalcohols, aliphatic amines, heterocyclic amines, hydroxamic acids, substituted phenols, sulfonamides, thiols, polyols having hydroxyl groups, triazole compounds, and combinations thereof.
  • a functional group selected from the group consisting of organic carboxylic acids, amino acids, amidocarboxylic acids, N-acylamino acids, and their salts thereof; organic sulfonic acids and salts thereof; organic phosphonic acids and salts thereof; polymeric carboxylic
  • Preferred additive are polymers or copolymers comprising acrylic acid groups.
  • a preferred polymer is ammonium polyacrylate.
  • Molecular weight range of polyacrylic acid or its salt may range from 1,00 to 5,000,000 or preferably between 500 to 100,000 or most preferably between 1,000 and 20,000.
  • the amount of chemical additive ranges from about 0.1 ppm to 0.5 wt. % relative to the total weight of the barrier CMP composition.
  • the preferred range is from about 200 ppm to 0.3% and more preferred range is from about 500 ppm to 0.15 wt. %.
  • Polishing compositions may also comprise pH adjusting agents or pH buffering additives or both in order to achieve and maintain the pH of the composition.
  • the pH adjusting agent includes, but is not limited to, sodium hydroxide, cesium hydroxide, potassium hydroxide, cesium hydroxide, ammonium hydroxide, quaternary organic ammonium hydroxide (e.g. tetramethylammonium hydroxide) and mixtures thereof.
  • the pH buffering agent may comprise a salt of an organic or inorganic acid with a base.
  • Suitable pH buffering agents include but not limited to salts of polyacrylic acid, citric acid, acetic acid, carbonic acid, bicine, tricine, Tris, 4-(2-hydroxyethyl)-1-piperazineethanesulfonic acid, N-cyclohexyl-3-aminopropanesulfonic acid, 3-(N-morpholino)propanesulfonic acid, and piperazine-N,N-bis(2-ethanesulfonic acid).
  • the amount of pH-adjusting agent or pH buffering agent or both ranges from about 0.0001 wt. % to about 5 wt. % relative to the total weight of the CMP composition.
  • the preferred range is from about 0.0005 wt. % to about 1 wt. %, and more preferred range is from about 0.0005 wt. % to about 0.5 wt. %
  • pH of the polishing composition is between 1 and 13, preferably between 2 and 12 and more preferably between 3 and 10. Polishing compositions with higher pH would yield higher poly-Si rate. However, at higher pH especially beyond 8, removal rate of silicon oxide or silicon nitride films may also increase. For certain applications where it is necessary to have very low silicon oxide or silicon nitride removal rate, preferred pH range may be between 4 to 10.
  • the CMP composition may comprise a surfactant.
  • the surfactant includes, but is not limited to, a). Non-ionic surface wetting agents; b). Anionic surface wetting agents; c). Cationic surface wetting agents; d). ampholytic surface wetting agents; and mixtures thereof.
  • Non-ionic surfactants may be chosen from a range of chemical types including but not limited to long chain alcohols, ethoxylated alcohols, ethoxylated acetylenic diol surfactants, polyethylene glycol alkyl ethers, proplylene glycol alkyl ethers, glucoside alkyl ethers, polyethylene glycol octylphenyl ethers, polyethylene glycol alkylpgenyl ethers, glycerol alkyl esters, polyoxyethylene glycol sorbiton alkyl esters, sorbiton alkyl esters, cocamide monoethanol amine, cocamide diethanol amine dodecyl dimethylamine oxide, block copolymers of polyethylene glycol and polypropylene glycol, polyethoxylated tallow amines, fluorosurfactants.
  • the molecular weight of surfactants may range from several hundreds to over 1 million. The viscosities of these materials also possess a very
  • Anionic surfactants include, but are not limited to salts with suitable hydrophobic tails, such as alkyl carboxylate, alkyl polyacrylic salt, alkyl sulfate, alkyl phosphate, alkyl bicarboxylate, alkyl bisulfate, alkyl biphosphate, such as alkoxy carboxylate, alkoxy sulfate, alkoxy phosphate, alkoxy bicarboxylate, alkoxy bisulfate, alkoxy biphosphate, such as substituted aryl carboxylate, substituted aryl sulfate, substituted aryl phosphate, substituted aryl bicarboxylate, substituted aryl bisulfate, substituted aryl biphosphate etc.
  • the counter ions for this type of surface wetting agents include, but are not limited to potassium, ammonium and other positive ions. The molecular weights of these anionic surface wetting agents range from several hundred to several hundred-thousands.
  • Cationic surface wetting agents possess the positive net charge on major part of molecular frame.
  • Cationic surfactants are typically halides of molecules comprising hydrophobic chain and cationic charge centers such as amines, quaternary ammonium, benzyalkonium and alkylpyridinium ions.
  • the surfactant can be an ampholytic surface wetting agents possess both positive (cationic) and negative (anionic) charges on the main molecular chains and with their relative counter ions.
  • the cationic part is based on primary, secondary, or tertiary amines or quaternary ammonium cations.
  • the anionic part can be more variable and include sulfonates, as in the sultaines CHAPS (3-[(3-Cholamidopropyl)dimethylammonio]-1-propanesulfonate) and cocamidopropyl hydroxysultaine. Betaines such as cocamidopropyl betaine have a carboxylate with the ammonium.
  • ampholytic surfactants may have a phosphate anion with an amine or ammonium, such as the phospholipids phosphatidylserine, phosphatidylethanolamine, phosphatidylcholine, and sphingomyelins.
  • surfactants also include, but are not limited to, dodecyl sulfate sodium salt, sodium lauryl sulfate, dodecyl sulfate ammonium salt, secondary alkane sulfonates, alcohol ethoxylate, acetylenic surfactant, and any combination thereof.
  • Suitable commercially available surfactants include TRITONTM, TergitolTM, DOWFAXTM family of surfactants manufactured by Dow Chemicals and various surfactants in SURFYNOLTM, DYNOLTM, ZetasperseTM, NonidetTM, and TornadolTM surfactant families, manufactured by Air Products and Chemicals.
  • Suitable surfactants may also include polymers comprising ethylene oxide (EO) and propylene oxide (PO) groups.
  • EO-PO polymer is TetronicTM 90R4 from BASF Chemicals.
  • surfactants that have functions of dispersing agents and/or wetting agents include, but are not limited to, polymeric compounds which may have anionic or cationic or nonionic or zwitterionic characteristics. Examples are polymers/copolymers containing functional groups such as acrylic acid, maleic acid, sulfonic acid, vinyl acid, ethylene oxide, etc.
  • the amount of surfactant ranges from about 0.0001 wt. % to about 10 wt. % relative to the total weight of the CMP composition.
  • the preferred range is from about 0.001 wt. % to about 1 wt. %, and more preferred range is from about 0.005 wt. % to about 0.1 wt. %.
  • the CMP composition may comprise biological growth inhibitors or preservatives to prevent bacterial and fungal growth during storage.
  • the biological growth inhibitors include, but are not limited to, tetramethylammonium chloride, tetraethylammonium chloride, tetrapropylammonium chloride, alkylbenzyldimethylammonium chloride, isothiazolin compounds and alkylbenzyldimethylammonium hydroxide, wherein the alkyl chain ranges from 1 to about 20 carbon atoms, sodium chlorite, and sodium hypochlorite.
  • the slurry can be manufactured in a concentrated form and be diluted at point of use with water.
  • slurry can be manufactured in two or more components, that may be mixed at point of use along with optional dilution with water in order to overcome potential issues such as particle stability in slurries, cost of shipping etc.
  • Polishing Pad IC1010 pad supplied by Dow Corporation; and soft Fujibo polishing pad supplied by Fujibo, were used for CMP process.
  • TEOS oxide films by Chemical Vapor Deposition (CVD) using tetraethylorthosilicate as the precursor
  • HDP oxide films made by high density plasma (HDP) technique
  • angstrom(s)—a unit of length
  • PS platen rotational speed of polishing tool, in rpm (revolution(s) per minute)
  • Removal Rate (RR) (film thickness before polishing ⁇ film thickness after polishing)/polish time.
  • TEOS/SiN TEOS RR/SiN RR
  • TEOS/poly-Si TEOS RR/poly-Si RR at same down force (psi)
  • the CMP tool that was used in the examples is a Mirra®, manufactured by Applied Materials, 3050 Boweres Avenue, Santa Clara, Calif., 95054.
  • IC1010 pad supplied by Dow Electronic Chemicals, was used on the platen for the blanket wafer polishing studies. Pads were broken-in by polishing twenty-five dummy oxide (deposited by plasma enhanced CVD from a TEOS precursor, PETEOS) wafers
  • Methyl-isothiazolinone was purchased from Dow Chemicals (USA) under trade name Neolone M-10.
  • PL-2 and PL-2L silica abrasive were purchased from Fuso Chemical Company (Tokyo, Japan).
  • Particle size as measured by dynamic light scattering was approximately 50 nm.
  • Ceria coated silica particles were manufactured by JGC Catalysts and Chemicals Ltd (16th Floor, Solid Square East Tower, 580 Horikawa-cho, Saiwai-ku, Kawasaki City, Kanagawa 212-0013 JAPAN).
  • compositions Composition# 1 2 3 4 5 Methyl- 0.05 0.05 0.015 0.05 0.05 isothiazolinone (wt. %) Fuso PL-2 1 1 1 Silica particles (wt. %) Ceria coated — — 0.3 0.3 silica particles (wt. %) Ammonium 0.1 0.1 0.1 0.1 0.2 Polyacrylate (wt. %) Water balance balance balance balance pH 5 6 5 5 5 5
  • Wafers were polished at 4 psi downforce and 85 RPM table speed.
  • Table 2 summarized removal rate data on various films with polishing compositions shown in table 1.
  • composition 1 comprising higher methyl-isothialozinone concentration (0.05 wt. %) showed higher removal rate compared to composition 3 comprising only 0.015 wt. % methyl-isothialozinone concentration, demonstrating that methyl-isothialozinone acts as a booster of the poly-Si removal rates.
  • compositions comprising ceria coated silica particles and methyl-isothialozinone show high removal rates of both poly-Si and TEOS films.
  • TEOS rate can be modulated to provide desired removal rate selectivity.
  • Polishing compositions were made as per the compositions listed in table 3.
  • the formulations used calcined ceria particles as abrasives.
  • compositions Composition# 6 (Comparative) 7 8 Methyl- 0 0.01 0.05 isothiazolinone (wt. %) Calcined Ceria 0.5 0.5 0.5 particles (wt. %) Ammonium 0.077 0.077 0.077 Polyacrylate ((wt. %) Water balance balance balance pH 5.2 5.2 5.2
  • Wafers were polished at 4.7 psi downforce and 87 RPM table speed.
  • Table 4 summarizes the removal rate data.
  • Comparative Composition 6 did not contain methyl isothiazolinone compound giving very low poly-Si removal rate but high TEOS removal rate, resulting in a selectivity of Poly-Si/TEOS with 0.127.
  • compositions 7 and 8 contained methyl isothiazolinone compound showing very high poly-Si removal rate. Compositions 7 and 8 also achieved 1:1 removal rate selectivity between poly-Si and TEOS films which may be desired for certain applications
  • Compositions 9-12 were made comprising 1 wt. % Fuso PL2 particles, 500 ppm methyl isothiazolinone, 0.2 wt. % ammonium polyacrylate (Molecular weight 1000-5000) and water.
  • pH of these formulations was adjusted using ammonium hydroxide to values 6, 7, 8 and 10 respectively.
  • Table 5 summarizes the polishing data obtained at 4 psi and 85 RPM.
  • Composition 13 was made comprising 1 wt. % aluminum containing silica particles with 30 nm particle size, 0.2 wt. % ammonium polyacrylate (molecular weight 1000-5000) and 0.05 wt. % methyl isothozolinone, with pH of 5.
  • Removal rates of Poly-Si, TEOS and SiN at 4 psi downforce and 85 RPM were 1870 ⁇ /min, 29 ⁇ /min and 33 ⁇ /min respectively.
  • Composition comprising aluminum containing silica particles and methyl-isothialozinone showed high removal rate of poly-Si and low removal rates of TEOS or SIN films.
  • Composition 14 was made comprising 1 wt. % Fuso PL2 silica particles with 30 nm particle size, 0.05 wt. % methyl isothozolinone and water with pH adjusted to pH of 5.
  • Removal rates of Poly-Si, TEOS and SiN at 4.5 psi downforce and 85 RPM were 2363 ⁇ /min, 34 ⁇ /min and 23 ⁇ /min respectively.
  • compositions were made comprising combination of ceria containing abrasive particles (Ceria coated silica particles) and silica particles as described in table 6.
  • Ceria coated silica particles were manufactured by JGC Catalysts and Chemicals Ltd (16th Floor, Solid Square East Tower, 580 Horikawa-cho, Saiwai-ku, Kawasaki City, Kanagawa 212-0013 JAPAN).
  • compositions Composition# 15 16 17 Methyl- 0.05 0.05 0.015 isothiazolinone (wt. %) Fuso PL-2L 0 0.5 1 Silica particles (wt. %) Ceria coated 0.1 0.1 0.1 silica particles (wt. %) Ammonium 0.05 0.05 0.05 Polyacrylate (wt. %) Water balance balance balance pH 6 6 6
  • ceria containing abrasive particles (Ceria coated silica particles) provided high silicon oxide removal rates relative to poly-Si removal rates.
  • compositions in working examples have shown a remarkable removal rate selectivity between elemental silicon and silicon oxide or SiN ranging from 0.1 to 110. This tunable selectivity is very useful in the semiconductor process.

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Dispersion Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
US15/901,317 2017-02-28 2018-02-21 Chemical Mechanical Planarization of Films Comprising Elemental Silicon Abandoned US20180244955A1 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US15/901,317 US20180244955A1 (en) 2017-02-28 2018-02-21 Chemical Mechanical Planarization of Films Comprising Elemental Silicon
TW107106464A TWI671393B (zh) 2017-02-28 2018-02-27 含有元素矽的膜的化學機械平坦化
SG10201801578TA SG10201801578TA (en) 2017-02-28 2018-02-27 Chemical mechanical planarization of films comprising elemental silicon
IL257765A IL257765B2 (en) 2017-02-28 2018-02-27 Chemical mechanical surface smoothing of layers containing elemental silicon
KR1020180024853A KR102118568B1 (ko) 2017-02-28 2018-02-28 원소 규소를 포함하는 막의 화학적 기계적 평탄화
EP18159327.8A EP3366742A1 (en) 2017-02-28 2018-02-28 Chemical mechanical planarization of films comprising elemental silicon
CN201810166584.8A CN108504288B (zh) 2017-02-28 2018-02-28 包含元素硅的膜的化学机械平面化
JP2018035003A JP6673954B2 (ja) 2017-02-28 2018-02-28 元素状ケイ素を含む膜の化学機械平坦化
US16/593,268 US11111415B2 (en) 2017-02-28 2019-10-04 Chemical mechanical planarization of films comprising elemental silicon

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762464680P 2017-02-28 2017-02-28
US15/901,317 US20180244955A1 (en) 2017-02-28 2018-02-21 Chemical Mechanical Planarization of Films Comprising Elemental Silicon

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/593,268 Continuation US11111415B2 (en) 2017-02-28 2019-10-04 Chemical mechanical planarization of films comprising elemental silicon

Publications (1)

Publication Number Publication Date
US20180244955A1 true US20180244955A1 (en) 2018-08-30

Family

ID=61526679

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/901,317 Abandoned US20180244955A1 (en) 2017-02-28 2018-02-21 Chemical Mechanical Planarization of Films Comprising Elemental Silicon
US16/593,268 Active US11111415B2 (en) 2017-02-28 2019-10-04 Chemical mechanical planarization of films comprising elemental silicon

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/593,268 Active US11111415B2 (en) 2017-02-28 2019-10-04 Chemical mechanical planarization of films comprising elemental silicon

Country Status (8)

Country Link
US (2) US20180244955A1 (ja)
EP (1) EP3366742A1 (ja)
JP (1) JP6673954B2 (ja)
KR (1) KR102118568B1 (ja)
CN (1) CN108504288B (ja)
IL (1) IL257765B2 (ja)
SG (1) SG10201801578TA (ja)
TW (1) TWI671393B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200071567A1 (en) * 2018-09-04 2020-03-05 Fujimi Incorporated Polishing composition and polishing system
US10640681B1 (en) * 2018-10-20 2020-05-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for tungsten
CN114350264A (zh) * 2022-02-18 2022-04-15 河北工业大学 一种用于钴互连结构钴膜cmp粗抛的碱性抛光液及其制备方法
US20220195246A1 (en) * 2019-10-30 2022-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing slurry composition and method of polishing metal layer
US11608451B2 (en) 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200102475A1 (en) * 2018-09-28 2020-04-02 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mecahnical polishing composition and method of polishing silcon dioxide over silicon nitiride
CN113528085B (zh) * 2020-04-21 2022-07-01 Skc索密思株式会社 用于半导体工艺的液体组合物以及基板的研磨方法
US11180679B1 (en) 2020-05-27 2021-11-23 Skc Solmics Co., Ltd. Composition for semiconductor processing and method for polishing substrate using the same
CN113416493B (zh) * 2021-06-02 2022-09-20 万华化学集团电子材料有限公司 存储稳定的硅片抛光组合物的制备方法、组合物及其使用方法
CN113861848B (zh) * 2021-11-08 2022-07-12 万华化学集团电子材料有限公司 一种再生晶圆化学机械抛光液及其制备方法
CN117050661B (zh) * 2023-06-21 2024-05-17 湖北兴福电子材料股份有限公司 一种绿色单晶硅粗抛光液

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020095872A1 (en) * 2000-11-24 2002-07-25 Nec Corporation Chemical mechanical polishing slurry
US20090165395A1 (en) * 2005-12-16 2009-07-02 Jsr Corporation Chemical mechanical polishing aqueous dispersion, chemical mechanical polishing method, and kit for preparing chemical mechanical polishing aqueous dispersion
US20110136344A1 (en) * 2009-09-16 2011-06-09 Cabot Microelectronics Corporation Composition and method for polishing polysilicon
US20160027657A1 (en) * 2014-07-25 2016-01-28 Air Products And Chemicals, Inc. Chemical Mechanical Polishing (CMP) of Colbalt-Containing Substrate

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3429080A (en) 1966-05-02 1969-02-25 Tizon Chem Corp Composition for polishing crystalline silicon and germanium and process
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US6533832B2 (en) 1998-06-26 2003-03-18 Cabot Microelectronics Corporation Chemical mechanical polishing slurry and method for using same
US20020025762A1 (en) 2000-02-16 2002-02-28 Qiuliang Luo Biocides for polishing slurries
US6676718B2 (en) * 2001-01-12 2004-01-13 Rodel Holdings, Inc. Polishing of semiconductor substrates
JP2003313542A (ja) 2002-04-22 2003-11-06 Jsr Corp 化学機械研磨用水系分散体
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
WO2006115393A1 (en) * 2005-04-28 2006-11-02 Techno Semichem Co., Ltd. Auto-stopping abrasive composition for polishing high step height oxide layer
JP2007088379A (ja) * 2005-09-26 2007-04-05 Fujifilm Corp 水系研磨液、及び、化学機械的研磨方法
US7585340B2 (en) 2006-04-27 2009-09-08 Cabot Microelectronics Corporation Polishing composition containing polyether amine
CN101437918B (zh) * 2006-05-02 2012-11-21 卡伯特微电子公司 用于半导体材料的化学机械抛光的组合物及方法
CN101358125B (zh) * 2007-08-03 2013-07-10 安集微电子(上海)有限公司 一种浓缩化学机械平坦化浆料产品及其使用方法
US8247327B2 (en) 2008-07-30 2012-08-21 Cabot Microelectronics Corporation Methods and compositions for polishing silicon-containing substrates
JP2011108811A (ja) * 2009-11-17 2011-06-02 Asahi Glass Co Ltd 研磨剤、研磨剤セットおよび研磨方法
JP2011008811A (ja) 2010-08-16 2011-01-13 Fujitsu Ltd プログラム、及びデータ抽出方法
JP2012182299A (ja) * 2011-03-01 2012-09-20 Hitachi Chem Co Ltd 半導体基板用研磨液及び半導体基板の研磨方法
EP2739984B1 (en) 2011-08-01 2019-05-01 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. An rf coil assembly for mri with a plurality of coil elements distributed over at least two coil rows
CN102441819B (zh) * 2011-10-20 2014-03-19 天津理工大学 一种用于硫系相变材料的化学机械抛光方法
CN102408836A (zh) * 2011-10-20 2012-04-11 天津理工大学 一种用于氧化钛薄膜化学机械平坦化的纳米抛光液及应用
US8435420B1 (en) 2011-10-27 2013-05-07 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing using tunable polishing formulation
US8545715B1 (en) * 2012-10-09 2013-10-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method
CN104745093A (zh) * 2013-12-26 2015-07-01 安集微电子(上海)有限公司 一种化学机械抛光液
CN103965789A (zh) * 2014-04-18 2014-08-06 烟台恒迪克能源科技有限公司 一种非金属悬浮抛光液及其制备方法
EP3279142B1 (en) 2015-03-31 2021-01-06 JGC Catalysts and Chemicals Ltd. Silica-based composite fine-particle dispersion, method for producing same, and polishing slurry including silica-based composite fine-particle dispersion
US10032644B2 (en) * 2015-06-05 2018-07-24 Versum Materials Us, Llc Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020095872A1 (en) * 2000-11-24 2002-07-25 Nec Corporation Chemical mechanical polishing slurry
US20090165395A1 (en) * 2005-12-16 2009-07-02 Jsr Corporation Chemical mechanical polishing aqueous dispersion, chemical mechanical polishing method, and kit for preparing chemical mechanical polishing aqueous dispersion
US20110136344A1 (en) * 2009-09-16 2011-06-09 Cabot Microelectronics Corporation Composition and method for polishing polysilicon
US20160027657A1 (en) * 2014-07-25 2016-01-28 Air Products And Chemicals, Inc. Chemical Mechanical Polishing (CMP) of Colbalt-Containing Substrate

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200071567A1 (en) * 2018-09-04 2020-03-05 Fujimi Incorporated Polishing composition and polishing system
US10640681B1 (en) * 2018-10-20 2020-05-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for tungsten
US11608451B2 (en) 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
US20220195246A1 (en) * 2019-10-30 2022-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing slurry composition and method of polishing metal layer
US12024651B2 (en) * 2019-10-30 2024-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing slurry composition and method of polishing metal layer
CN114350264A (zh) * 2022-02-18 2022-04-15 河北工业大学 一种用于钴互连结构钴膜cmp粗抛的碱性抛光液及其制备方法

Also Published As

Publication number Publication date
SG10201801578TA (en) 2018-09-27
JP6673954B2 (ja) 2020-04-01
KR102118568B1 (ko) 2020-06-03
EP3366742A1 (en) 2018-08-29
CN108504288B (zh) 2021-10-15
IL257765B2 (en) 2024-04-01
TW201833292A (zh) 2018-09-16
US11111415B2 (en) 2021-09-07
TWI671393B (zh) 2019-09-11
CN108504288A (zh) 2018-09-07
US20200032108A1 (en) 2020-01-30
IL257765A (en) 2018-04-30
KR20180099570A (ko) 2018-09-05
JP2018150520A (ja) 2018-09-27
IL257765B1 (en) 2023-12-01

Similar Documents

Publication Publication Date Title
US11111415B2 (en) Chemical mechanical planarization of films comprising elemental silicon
KR102422713B1 (ko) 세리아-코팅된 실리카 연마재를 사용하는 배리어 화학 기계적 평탄화 슬러리
US10669449B2 (en) Composite abrasive particles for chemical mechanical planarization composition and method of use thereof
US10144850B2 (en) Stop-on silicon containing layer additive
TWI731273B (zh) 複合粒子、其精製方法及用途
KR20190039635A (ko) 하전된 연마재를 함유하는 연마 조성물
KR101672811B1 (ko) 폴리실리콘, 실리콘 옥사이드 및 실리콘 니트라이드를 포함하는 기판의 연마 방법
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
KR20200018338A (ko) 산화물 재료를 연마하기 위한 화학적 기계적 평탄화 조성물 및 이의 사용 방법
TWI785220B (zh) 供使用在釕和銅材料的研磨組成物及用於從半導體元件研磨和移除釕的方法
JP6002983B2 (ja) 調整可能な絶縁体研磨選択比を有するスラリー組成物及び基板研磨方法
JP2016157985A (ja) 酸化ケイ素および窒化ケイ素の少なくとも1種とポリシリコンとを含む基体を研磨する方法
TW201335348A (zh) 研磨用組成物
TWI518157B (zh) 具有可調介電質研磨選擇性之漿液組成物及研磨基板之方法
KR20200036749A (ko) 화학 기계적 연마 조성물, 및 실리콘 질화물 위의 실리콘 이산화물을 연마하는 방법

Legal Events

Date Code Title Description
AS Assignment

Owner name: VERSUM MATERIALS US, LLC, ARIZONA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HENRY, JAMES MATTHEW;ZHOU, HONGJUN;MURELLA, KRISHNA P.;AND OTHERS;SIGNING DATES FROM 20180322 TO 20180326;REEL/FRAME:045351/0110

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION