KR20190039635A - 하전된 연마재를 함유하는 연마 조성물 - Google Patents

하전된 연마재를 함유하는 연마 조성물 Download PDF

Info

Publication number
KR20190039635A
KR20190039635A KR1020180051632A KR20180051632A KR20190039635A KR 20190039635 A KR20190039635 A KR 20190039635A KR 1020180051632 A KR1020180051632 A KR 1020180051632A KR 20180051632 A KR20180051632 A KR 20180051632A KR 20190039635 A KR20190039635 A KR 20190039635A
Authority
KR
South Korea
Prior art keywords
acid
abrasive
silica
polishing composition
composition
Prior art date
Application number
KR1020180051632A
Other languages
English (en)
Other versions
KR102491600B1 (ko
Inventor
아부데이어 미쉬라
Original Assignee
후지필름 플레이너 솔루션스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 플레이너 솔루션스, 엘엘씨 filed Critical 후지필름 플레이너 솔루션스, 엘엘씨
Publication of KR20190039635A publication Critical patent/KR20190039635A/ko
Priority to KR1020230007271A priority Critical patent/KR20230017331A/ko
Application granted granted Critical
Publication of KR102491600B1 publication Critical patent/KR102491600B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Dispersion Chemistry (AREA)
  • Disintegrating Or Milling (AREA)

Abstract

특정 유전 필름을 다른 유전 필름보다 선택적이고 우선적으로 연마할 수 있는 연마 조성물이 본원에서 제공된다. 이들 연마 조성물은 제거되고 보존되는 표적 유전 필름을 기반으로 하는 양이온성 또는 음이온성 연마재를 포함한다. 연마 조성물은 신규한 정전하 기반의 디자인을 이용하며, 여기서 연마재의 전하 및 유전 필름 상의 전하와의 이들의 정전 상호 작용(인력 또는 척력)을 기반으로 하여, 다양한 물질 제거율 및 연마 선택성이 달성될 수 있다.

Description

하전된 연마재를 함유하는 연마 조성물{POLISHING COMPOSITIONS CONTAINING CHARGED ABRASIVE}
본 발명은 연마 조성물 및 이를 이용한 반도체 기판을 연마하는 방법에 관한 것이다. 보다 상세하게는, 본 발명은 화학적 기계 연마 조성물 및 반도체 기판으로부터 특정 유전층(dielectric layer)을 선택적으로 제거하는 방법에 관한 것이다.
반도체 산업은 프로세스 및 집적 혁신에 의한 장치의 추가의 소형화로 인해 계속해서 칩 성능을 향상시키고 있다. 화학 기계적 연마/평탄화(Chemical Mechanical Polyshing/Planarization, CMP)는 트랜지스터 수준에서 다양하고 복잡한 집적 스킴(integration scheme)을 가능하게 하여 칩 밀도를 증가시키므로 강력한 기술이다. 당연히, 전공정(Front End of Line, FEOL) 트랜지스터 제작 단계에서 다수의 새로운 CMP 단계 및 요건이 존재한다. FEOL 물질 스택은 전형으로 메탈 게이트(metal gate) 및 다수의 유전체(dielectric material)의 스택을 포함한다. 일반적으로 사용되는 유전 필름(dielectric film)은 질화규소(Silicon Nitride, SiN), 산화규소(Silicon Oxide, SiO2 또는 TEOS), 폴리-실리콘(Poly-silicon, P-Si), 질화탄소규소(Silicon Carbon Nitride, SiCN), 스핀 온 카본(spin on carbon, SOC) 카본 하드 마스크(carbon hard mask) 및 저-k(low-k)/초저-k(ultra-low-k)(SiCOH, SiOC) 유전 필름이다. 인텔사(Intel Corporation)에 의한 45 nm 칩 생산에서의 고-k 메탈 게이트 기술 및 22 nm 칩 생산에서의 FinFET 기술의 도입으로, SiN, SiO2, SiCN 및 P-Si 필름이 FEOL에서 더 많이 그리고 다수의 적용에 사용되기 시작하였다. 또한, 진보된 10 nm 이하의 제조 노드(manufacturing node)의 규모를 축소하지 않는 기존의 배리어 물질(Ta/TaN; Ti/TiN)의 비저항(resistivity)을 갖춘 후공정(Back End of Line, BEOL) 적용에서, 반도체 회사는 다양한 BEOL 물질 스택에 대하여 SiN, SiO2 및 P-Si와 같은 유전체를 사용하고 있다. FEOL 및 BEOL의 모두에 대하여, 이들 유전 필름은 식각 저지층(etch stop layer), 캡핑 물질, 스페이서 물질, 추가 라이너, 확산(diffusion)/패시베이션(passivation) 배리어, 하드 마스크 및/또는 스톱-온(stop-on) 층으로서 사용될 수 있다.
개시의 요약
따라서, 유전 필름은 첨단 반도체 제조에서 훨씬 더 많이 사용되고 있다. CMP의 관점에서, 유전체를 통합하는 이들 집적화의 대부분은 이들 필름의 2개 또는 3개 중 하나에서 작업/연마(또는 중단)할 수 있는 연마 조성물(슬러리)을 필요로 한다. 예를 들면, SiN은 제거할 수 있고 SiO2/P-Si는 제거(중단)할 수 없는 슬러리, 또는 SiO2는 제거할 수 있고 SiN은 제거(중단)할 수 없는 슬러리를 개발하는 것이 바람직하다. 다수의 요건을 갖는 그러한 시스템을 디자인하기 위하여, 전통적인 접근법은 하나 이상의 이들 유전 필름의 비율을 강화시키거나 억제할 수 있는 몇 가지 화학적 증강제 또는 억제제를 첨가하는 것이었다. 전형적인 예는 세리아(ceria) 연마재를 함유하는 제형 중의 SiN의 비율을 추가로 억제하는 화학 물질로서 아미노산을 사용하는 쉘로우 트렌치 소자분리(Shallow Trench Isolation; STI) 슬러리이다. 이들 STI 슬러리는 SiN에 대해 선택적으로 TEOS를 연마하고, 높은 TEOS 비율을 나타내며, SiN 필름에 대한 연마를 중단한다(또는 0에 가까운 연마율을 가진다).
유전(SiN, TEOS, P-Si) 필름은 비록 고체 표면이지만, 정전하(electrostatic charge)를 갖는다는 것은 주목할만하다. 전하(양전하, 음전하 또는 0)는 그 자체가 제타 전위(zeta potential)로서 나타나며, pH에 의해 변한다. 유사하게는, 콜로이드성 분산액으로서의 연마재(예를 들어, 실리카)는 pH에 의해 변하는 이들 자신의 전하 및 제타 전위 값을 갖는다. 추가로, 이들 연마재는 제타 음전위(예를 들어, 음이온성 실리카) 또는 제타 양전위(예를 들어, 양이온성 실리카)를 나타내도록 표면 개질될 수 있다. 따라서, 연마재와 유전 필름은 모두 정전하를 가지며, 연마재 및 유전 필름이 특정 pH에서 상이한 전하(양전하 대 음전하)를 갖는 경우, 둘 사이에 인력이 존재하여 결과적으로 그 특정 연마재를 사용하는 그 특정 필름의 높은 제거율을 유도할 것이다. 반대로, 연마재 및 유전 필름이 유사한 전하(둘 다 양성 또는 둘 다 음성)를 갖는 경우, 둘 사이에 척력이 존재하여 그 특정 연마재를 사용하는 그 특정 유전 필름의 낮은(예를 들어, 0에 가까운) 제거율을 유도하고, 그 유전 필름에 대한 연마를 중단한다. 따라서, 정전기적 인력과 척력이 (다른 것들 중에서) 표면 전하에 의하여, 그리고 따라서 액상 슬러리/연마재의 제타 전위 및 고형 유전 표면의 제타 전위에 의하여 유도된다. 이러한 개시는 질화규소, 산화규소, 폴리-실리콘, 질화탄소규소 및 저 k/초저 k 유전 필름과 같은 다수의 유전 필름을 포함하는 기판을 선택적이고 우선적으로 연마하기 위해 하전된 연마재를 포함하는 연마 조성물(슬러리)의 디자인을 교시한다. 이러한 CMP 슬러리 디자인은 주로 연마재와 유전 필름 사이의 인력 및/또는 척력의 정전기력을 이용하는 것에 기초하고 있다.
일반적으로, 본 발명은 다수의 유전 필름을 포함하는 기판에서 다른 유전 필름에 비해 선택적이고 우선적으로 일부 유전 필름을 연마할 수 있는 수성 연마 조성물에 관한 것이다. 보다 상세하게는, 본 발명은 연마재의 표면 전하 및 유전 필름의 표면 전하에 기초하여 선택적 물질 제거를 위한 연마 조성물(슬러리)의 디자인을 논의한다. 연마재의 표면 전하가 유전 필름의 표면 전하와 동일한 극성을 갖는 경우, 두 물질은 반발하여 유전 필름의 제거율(removal rates, RRs)을 감소시킨다. 반대로, 연마재의 표면 전하가 유전 필름의 표면 전하와 반대의 극성을 갖는 경우, 두 물질 사이에 인력이 존재하여 그 유전 필름의 제거율이 증가한다. 이들 힘에 의존하는 인력/척력 및 제거율에 기반을 둔 하전된 표면의 이러한 컨셉은 도 1에 나타나 있다. 도 1에서 알 수 있는 바와 같이, 하전된 연마재(예를 들어, 음이온성 또는 양이온성 실리카)를 사용하는 것은 다른 유전체에 비하여 유전체를 선택적으로 제거하기 위한 시스템을 디자인하는 데 도움이 될 수 있다. 예를 들어, 도 1에서, 사례 I은 음이온성 실리카(제타 음전위를 갖는 음으로 하전된 실리카)를 사용함으로써, 연마 조성물이 높은 제거율로 SiN 유전체(제타 양전위를 가짐)를 선택적으로 연마할 수 있고, 동시에 매우 낮은 제거율로 SiO2/저-k/P-Si 유전체(제타 음전위를 가짐)를 연마할 수 있음을 보여준다. 반대로, 도 1에서, 사례 II는 양이온성 실리카(제타 양전위를 갖는 양으로 하전된 실리카)를 사용함으로써, 연마 조성물이 (인력으로 인한) 높은 제거율로 SiO2/저-k/P-Si 유전체를 선택적으로 연마할 수 있고, 동시에 매우 낮은 제거율로 SiN 유전체를 연마할 수 있음을 보여준다. 일부 구현예에서, 이러한 전하 기반 디자인 컨셉은 산성의 2-7 pH 범위에 적용할 수 있다.
연마재의 전하에 기초하여 유전체를 연마하기 위한 슬러리를 디자인하는 컨셉은 도 1의 표에 추가로 요약하였다. 도 1의 표로부터 알 수 있는 바와 같이, SiN 필름은 음이온성 연마재를 사용하여 다른 유전체에 비해 선택적이고 우선적으로 연마될 수 있는 반면(사례 I), SiO2/저-k/P-Si 유전 필름은 양이온성 연마재를 사용하여 SiN 필름에 비해 선택적이고 우선적으로 연마될 수 있다(사례 II).
따라서, 일 양태에서, 본 발명은 연마 조성물을 제공한다. 조성물은 음이온성 연마재, 산/염기 pH 조절제 및 물을 포함한다. 연마 조성물은 약 2 내지 약 7의 pH를 갖는다. 이 구현예에서, 음이온성 연마재를 사용함으로써, 연마 조성물은 SiN 필름을 SiO2/저-k/P-Si 필름에 비해 선택적이고 우선적으로 연마할 수 있다(도 1: 사례 I). 예를 들어, 연마 조성물은 질화규소의 제1 제거율 및 폴리실리콘의 제2 제거율을 나타낼 수 있고, 제1 제거율 대 제2 제거율의 비는 적어도 약 2:1이다.
다른 양태에서, 본 발명은 (a) 표면상에 질화규소 및 폴리실리콘을 갖는 기판에 연마 조성물을 도포하는 단계, 및 (b) 패드를 기판과 접촉시키고, 기판에 대하여 패드를 이동시키는 단계를 포함하는 연마 방법을 제공한다. 조성물은 음이온성 연마재, 산/염기 pH 조절제 및 물을 포함한다. 연마 조성물은 약 2 내지 약 7의 pH를 갖는다. 방법은 질화규소의 적어도 일부를 제1 제거율로 제거할 수 있고, 방법은 폴리실리콘의 적어도 일부를 제2 제거율로 제거하고, 제1 제거율 대 제2 제거율의 비는 적어도 약 2:1이다.
다른 양태에서, 본 발명은 연마 조성물, 및 SiO2/저-k/P-Si 필름을 SiN 유전 필름보다 높은 선택도 및 높은 연마율로 연마하는 연마 방법을 제공한다. 연마 조성물은 양이온성 연마재, 산/염기 pH 조절제 및 물을 포함할 수 있다. 연마 조성물은 약 2 내지 약 7의 pH를 갖는다. 이 구현예에서, 양이온성 연마재를 사용하여, 연마 조성물은 SiN보다 SiO2/저-k/P-Si 필름을 선택적이고 우선적으로 연마할 수 있다(도 1: 사례 II). 일부 구현예에서, 양이온성 연마재는 알루미나, 실리카, 티타니아, 지르코니아, 이들의 공동 형성된 생성물, 또는 이들의 혼합물을 포함할 수 있다. 일부 구현예에서, 양이온성 연마재는 약 1 nm 내지 약 5000 nm의 평균 입자 크기를 가지는 세리아를 포함할 수 있다. 일부 구현예에서, 양이온성 연마재를 포함하는 연마 조성물은 실질적으로 할라이드 염을 포함하지 않는다.
또 다른 양태에서, 본 발명은 (a) 기판의 표면상에 질화규소, 및 산화규소 및 폴리실리콘 중 하나 이상을 갖는 기판에 연마 조성물을 도포하는 단계(여기서, 조성물은 양이온성 연마재, 산 또는 염기 및 물을 포함하고, 조성물은 약 2 내지 약 7의 pH를 가짐), 및 (b) 패드를 기판과 접촉시키고, 기판에 대하여 패드를 이동시키는 단계를 포함하는 연마 방법을 제공한다. 방법은 적어도 하나의 산화규소 및 폴리실리콘의 적어도 일부를 제1 제거율로 제거하고, 방법은 질화규소의 적어도 일부를 제2 제거율로 제거하고, 제1 제거율 대 제2 제거율의 비는 적어도 약 2:1이다.
또 다른 양태에서, 본 발명은 조성물의 사용 가능 기간 및/또는 유효 기간에 대하여, 긴 유통 기한을 가지는 음이온성/양이온성 연마재를 포함하는 연마 조성물을 제공한다. 특히, 음이온성/양이온성 연마재를 포함하는 연마 조성물의 제타 전위에 의한 척도로서 콜로이드성 분산 안정성은 정상/비이온성 콜로이드성 실리카를 갖는 조성물과 비교되고 대조된다. 일반적으로, 정상/비이온성 콜로이드성 실리카는 유전 필름을 연마하기 위한 산성 pH 레짐(regime)에서 사용하기에 (그 자체로) 적합하지 않다. 따라서, 음이온성/양이온성 연마재를 포함하는 연마 조성물은 산성 pH 레짐에서 하전된 연마재의 우수한 조성물 안정성으로 인하여 다중 유전체를 포함하는 기판을 연마하는 데 사용하기에 비이온성 실리카보다 훨씬 더 우수하다.
또 다른 양태에서, 본 발명의 조성물은 CMP 성능을 변경하지 않고 사용 시점(point of use; POU)(즉, 연마 공구를 지속하기 전)에서 희석될 수 있다. 예를 들어, 농축된 연마 조성물은 POU의 2배(2X)일 수 있다. CMP가 POU에 1배(1X) 제형화된 슬러리의 성분 농도에 이르도록 물로 2배 희석시키는 것에 의하여 수행되는 경우, 농축 제형(2X) 대 희석 제형(1X)의 성능은 저하되지 않는다. 더욱 농축된 연마 조성물(예컨대, 3배(3X), 5배(5X), 10배(10X) 등)은 유사한 방법을 사용하여 제조될 수 있다.
구현예는 하나 이상의 다음 특징을 포함할 수 있다:
일부 구현예에서, 양이온성 연마재를 포함하는 조성물은 산화규소 또는 폴리실리콘의 제1 제거율 및 질화규소의 제2 제거율을 가질 수 있고, 제2 제거율에 대한 제1 제거율의 비는 적어도 약 2:1(예를 들어, 적어도 약 8:1)이다.
일부 구현예에서, 음이온성 연마재를 포함하는 조성물은 질화규소의 제1 제거율 및 폴리실리콘의 제2 제거율을 가질 수 있고, 제2 제거율에 대한 제1 제거율의 비는 적어도 약 2:1(예를 들어, 적어도 약 8:1)이다.
일부 구현예에서, 양이온성 또는 음이온성 연마재는 세리아, 알루미나, 실리카, 티타니아, 지르코니아, 이들의 공동 형성된 생성물, 또는 이들의 혼합물을 포함할 수 있다. 일부 구현예에서, 양이온성 또는 음이온성 연마재는 콜로이드성 알루미나, 콜로이드성 실리카, 콜로이드성 세리아 또는 콜로이드성 티타니아를 포함할 수 있다. 일부 구현예에서, 양이온성 연마재는 양이온성 콜로이드성 실리카 또는 염기 고정(base immobilized) 비이온성 실리카를 포함할 수 있다. 일부 구현예에서, 음이온성 연마재는 음이온성 콜로이드성 실리카 또는 산 고정(acid immobilized) 비이온성 실리카를 포함할 수 있다. 일부 구현예에서, 실리카는 테트라메틸 오르토실리케이트(tetramethyl orthosilicate)로부터 졸-겔 반응에 의하여 제조될 수 있다. 일부 구현예에서, 양이온성 연마재는 화학식 (I)의 말단 그룹을 포함할 수 있다:
-Om-X-(CH2)n-Y (I)
여기에서, m은 1 내지 3의 정수이고; n은 1 내지 10의 정수이고; X는 Al, Si, Ti 또는 Zr이고; 및 Y는 양이온성 아미노 또는 티올 그룹이다. 일부 구현예에서, 음이온성 연마재는 화학식 (I)의 말단 그룹을 포함할 수 있다:
-Om-X-(CH2)n-Y (I)
여기에서, m은 1 내지 3의 정수이고; n은 1 내지 10의 정수이고; X는 Ce, Al, Si, Ti 또는 Zr이고; 및 Y는 산 그룹이다. 일부 구현예서, 양이온성 또는 음이온성 연마재는 조성물의 총 중량을 기준으로 하여, 약 0.01 중량% 내지 약 50 중량%의 양으로 조성물에 존재할 수 있다.
일부 구현예에서, 산은 포름산, 아세트산, 말론산, 시트르산, 프로피온산, 말산, 아디프산, 숙신산, 락트산, 옥살산, 하이드록시에틸리덴 디포스폰산, 2-포스포노-1,2,4-부탄 트리카복실산, 아미노트리메틸렌 포스폰산, 헥사메틸렌디아민 테트라(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 아미노아세트산, 과산화아세트산, 아세트산칼륨, 페녹시아세트산, 글리신, 비신, 디글리콜산, 글리세린산, 트리신, 알라닌, 히스티딘, 발린, 페닐알라닌, 프롤린, 글루타민, 아스파르트산, 글루탐산, 아르기닌, 리신, 티로신, 벤조산, 질산, 황산, 아황산, 인산, 포스폰산, 염산, 과요오드산 및 이들의 혼합물로 이루어진 군으로부터 선택될 수 있다. 일부 구현예에서, 염기는 수산화칼륨, 수산화나트륨, 수산화세슘, 수산화암모늄, 트리에탄올아민, 디에탄올아민, 모노에탄올아민, 테트라부틸암모늄 하이드록사이드, 테트라메틸암모늄 하이드록사이드, 수산화리튬, 이미다졸, 트리아졸, 아미노트리아졸, 테트라졸, 벤조트리아졸, 톨리트리아졸, 피라졸, 이소티아졸 및 이들의 혼합물로 이루어진 군으로부터 선택될 수 있다. 일부 구현예에서, 산 또는 염기는 조성물의 총 중량을 기준으로 하여, 약 0.0001 중량% 내지 약 30 중량%의 양으로 조성물에 존재할 수 있다.
일부 구현예에서, 양이온성 또는 음이온성 연마재는 약 1 nm 내지 약 5000 nm의 평균 입자 크기를 갖는다.
일부 구현예에서, 양이온성 연마재를 포함하는 연마 조성물은 약 0 mV 내지 약 +100 mV의 제타 전위를 가질 수 있다. 일부 구현예에서, 음이온성 연마재를 포함하는 연마 조성물은 약 0 mV 내지 약 -100 mV의 제타 전위를 가질 수 있다.
일부 구현예에서, 연마 조성물은 약 0.01 mS/cm 내지 약 100 mS/cm의 전도성(conductivity)을 가질 수 있다.
일부 구현예에서, 양이온성 연마재를 포함하는 연마 조성물과 산화규소 또는 폴리실리콘 사이의 제타 전위차는 20 mV를 초과하고, 양이온성 연마재를 포함하는 연마 조성물과 질화규소 사이의 제타 전위차는 20 mV 미만이다. 일부 구현예에서, 음이온성 연마재를 포함하는 연마 조성물과 질화규소 사이의 제타 전위차는 적어도 약 20 mV이고, 음이온성 연마재를 포함하는 연마 조성물과 폴리실리콘 사이의 제타 전위차는 최대 약 20 mV이다.
일부 구현예에서, 양이온성 연마재를 포함하는 연마 조성물을 사용하는 연마 방법은 기판상의 산화규소 및 폴리실리콘 중 적어도 하나를 실질적으로 모두 제거한다. 이러한 구현예에서, 연마 방법은 기판상의 질화규소의 적어도 일부(예를 들어, 실질적으로 모두)를 제거하는 단계를 추가로 포함할 수 있다.
일부 구현예에서, 음이온성 연마재를 포함하는 연마 조성물을 사용하는 연마 방법은 기판상의 질화규소를 실질적으로 모두 제거한다. 이러한 구현예에서, 연마 방법은 기판상의 폴리실리콘의 적어도 일부(예를 들어, 실질적으로 모두)를 제거하는 단계를 추가로 포함할 수 있다.
일부 구현예에서, 기판은 금속, 금속 산화물, 금속 질화물 및 유전 물질로 이루어진 그룹으로부터 선택된 추가의 물질을 추가로 포함할 수 있다. 일부 구현예에서, 연마 방법은 연마 조성물로 처리된 기판으로부터 반도체 장치를 제조하는 공정을 추가로 포함할 수 있다.
도 1은 다양한 유전체를 선택적으로 연마하는 연마재 전하 기반의 디자인 컨셉의 개요도를 제공한다. 사례 I(좌측)은 음이온성 연마재가 SiN을 선택적으로 연마하고, TEOS(SiO2 필름)/저-k/P-Si 유전 필름에 대한 연마를 중단하는 데 사용되는 예를 상세히 설명한다. 사례 II(우측)는 양이온성 연마재가 TEOS(SiO2 필름)/저-k/P-Si 유전 필름을 선택적으로 연마하고, SiN 필름에 대한 연마를 중단하는 데 사용되는 예를 도시한다. 도 1의 하부의 표는 연마 조성물 디자인 및 적용의 유형에 적합한 하전된 연마재(음이온성/양이온성)의 유형을 요약한 것이다.
도 2는 2 내지 11의 pH 범위에서의 다양한 유전 필름(SiN, SiO2(TEOS) & P-Si) 및 실리카 유형(정상/비이온성 콜로이드성 실리카(S), 양이온성 실리카(C) 및 음이온성 실리카(A))의 제타 전위를 나타낸다. 추가로, 도 2는 제타 전위에 따라, 2 내지 7의 pH 범위에서, C 실리카는 SiN 필름을 밀어내고 SiO2(TEOS) P-Si 필름은 끌어당기는 반면, A 실리카는 SiN 필름을 끌어당기고 SiO2(TEOS) P-Si 필름은 밀어냄을 보여준다.
도 3은 실시예 1에서 수득한 데이터를 기반으로 한 음이온성 연마재를 사용한 전하 기반의 디자인 컨셉을 나타낸다(도 1에 나타낸 사례 I). 도 3을 기반으로 하여, 음이온성 연마재가 CMP 슬러리 제형에 사용되는 경우, 연마재 상의 음전하가 SiN 필름상의 양전하를 끌어당기고(제타 전위 참조), SiN 필름의 높은 CMP 제거율(RR)을 제공한다고 여겨진다. 반대로, SiO2(TEOS) 필름은 음전하를 갖고(음이온성 연마재와 유사) 연마재를 밀어내어, 음이온성 연마재를 함유하는 CMP 제형이 SiO2(TEOS) 필름에 대한 연마를 중단시키거나 매우 낮은 CMP 제거율을 나타내도록 한다고 여겨진다.
도 4는 실시예 2에서 수득한 데이터를 기반으로 한 사례 I의 또 다른 시나리오를 나타낸다. 도 4를 기반으로 하여, 음이온성 연마재를 사용하면 상이한 전하 사이의 인력으로 인하여 SiN 필름에 대한 높은 CMP 제거율(RR)이 발생한다고 여겨진다. 반대로, 음이온성 연마재 및 P-Si 필름은 유사한 음전하를 가지기 때문에 서로 밀어내므로, 음이온성 연마재를 함유하는 연마 조성물은 P-Si 필름에 대한 매우 낮은 CMP 제거율(또는 P-Si 필름에 대한 연마 중단)을 제공한다고 여겨진다.
도 5는 실시예 3에서 수득한 데이터를 기반으로 한 양이온성 연마재를 사용하는 전하 기반 디자인 컨셉의 하나의 시나리오를 나타낸다(도 1에 나타낸 사례 II). 양이온성 연마재가 산성 pH 레짐에서 CMP 슬러리 제형에 사용되는 경우, 연마재 상의 양전하는 SiO2(TEOS) 필름상의 음전하를 끌어당기고(제타 전위 참조), SiO2(TEOS) 필름의 높은 CMP 제거율(RR)을 제공한다고 여겨진다. 반대로, SiN 필름은 양전하를 갖고(양이온성 연마재와 유사) 양이온성 연마재를 밀어내므로, 양이온성 연마재를 함유하는 CMP 제형이 SiN 필름에 대한 연마를 중단시키거나 매우 낮은 CMP 제거율을 나타내도록 한다고 여겨진다.
본 발명은 연마 조성물뿐만 아니라, 이를 사용하여 기판을 연마하는 방법을 제공한다. 연마 조성물은 일반적으로 (a) 양이온성 또는 음이온성 연마재, (b) pH 조절제로서의 산 및/또는 염기 및 (c) 물을 포함한다. 연마 조성물은 약 2 내지 약 7의 pH를 가질 수 있다. 본 발명의 연마 조성물은 "하전된(charged)" 연마재의 독특한 정전하 상호작용 및 고형 유전 필름의 표면상의 전하로 인하여, 유전체(질화규소(SiN), 산화규소(TEOS: 테트라-에틸 오르토-실리케이트), 폴리-실리콘(P-Si), 및 저-k/초저 k(SiCOH) 유전체) 필름을 선택적이고 우선적으로 연마 또는 제거할 수 있다. 유리한 CMP 물질 제거율(RR)을 제공하기 위한 이러한 독특한 연마재 및 유전 필름 전하 상호작용 기반의 연마 조성물(슬러리) 디자인이 본 발명의 대상이다. 이러한 연마 조성물은 또한 상기 기재된 유전 필름 이외에도, 코발트, 구리, 텅스텐, 탄탈럼, 티타늄, 루테늄, 알루미늄 및 이의 질화물 및 산화물과 같은 금속을 포함하는 물질/필름 스택을 연마하는 데 사용될 수 있다.
본 발명에서의 연마 조성물은 일반적으로 하전된 연마재: 음이온성(음으로 하전된) 연마재 또는 양이온성(양으로 하전된) 연마재를 포함한다. 일반적으로, 하전된 연마재를 함유하는 연마 조성물(예를 들어, 콜로이드성 분산액)은 제타 전위 플롯에서 보여지는 바와 같은 정전하를 갖는다. 계면 동전위(electrokinetic potential)라고도 알려진 제타 전위는 고체-액체 계면에서의 하전 거동(charging behavior)을 나타낸다. 즉, 고체-액체 계면에서의 계면 전하 분포(interfacial charge distribution)를 제타 전위라고 한다.
음이온성 연마재는 음전하가 부여된 콜로이드성 연마재이며 제타 전위 플롯에서 음전위 값(mV)을 나타내는 반면, 양이온성 연마재는 제타 양전위 값을 나타낸다(도 2를 참조; pH 2-6). 전형적으로, 비이온성/기존의 콜로이드성 실리카 분산액(도 2의 콜로이드성 실리카(S))은 그것의 작은 제타 전위로 인하여 산성 pH 레짐에서 다소 불안정하다.
일반적으로, 제타 전위는 연마재 분산액뿐만 아니라 이들 연마재를 함유하는 CMP 연마 조성물(슬러리) 분산액에 대한 분산 안정성의 우수한 지표이다. 또한, SiO2 필름, SiN 필름 및 P-Si 필름과 같은 얇은 필름도 제타 전위를 갖는다(도 2 참조). 제타 전위는 분산 매질과 분산된 입자에 부착된 유체의 고정 층 사이의 전위차(때로는 계면으로부터 떨어져 있는 벌크 유체 내의 지점 대 미끄럼층(slipping plane)의 위치에서 계면 이중층의 전위차라고도 함)를 측정한다. 제타 전위는 mV로 나타내고, 입자의 전기영동 이동도(electrophoretic mobility)를 측정한다. 분산액(콜로이드성 분산액을 함유하는 연마재 또는 연마재를 함유하는 연마 분산액)의 제타 전위는 어쿠스토사이저(AcoustoSizer) II 공구(제조원: Colloidal Dynamics) 또는 맬번(Malvern) 공구(제조원: Malvern Instruments)와 같은 시판중인 공구에 의하여 측정될 수 있다. 어쿠스토사이저는 음향 기술을 기반으로 하는 반면, 맬번 공구는 동적 광 산란 원리를 기반으로 한다. 유사하게는, 유전 필름 또는 연마 패드와 같은 고체 표면의 제타 전위(zeta potential, ZP)는 SurPASS 공구(제조원: Anton Paar)를 사용하여 측정될 수 있다. 예를 들어, 도 2에 나타낸 SiN, SiO2 (TEOS) 및 P-Si 유전 필름의 제타 전위는 SurPASS 3 공구(제조원: vendor Anton Paar)를 사용하여 측정하였다.
제타 전위는 입자 사이의 정전기적 척력을 나타내므로, 콜로이드성 분산 안정성을 측정하는 데 특히 유용하다. 정전기적으로 안정화된 분산 시스템에 대한 제타 전위의 절대값이 클수록, 콜로이드성 분산액의 척력은 커지고 이의 안정성이 커진다. 척력이 작은 경우(더 작은 제타 전위 값), 입자는 서로 끌어당기는 경향이 있어 응집하고/응고하고/덩어리져서 분산 불안정성을 유도한다. 콜로이드성 화학 원리에 따른 일반적인 지침은 다음과 같다:
Figure pat00001
제타 전위는 분산 매질의 입자 표면 전하 및 pH와 관련이 있다. 세리아, 알루미나, 실리카, 티타니아 및 지르코니아와 같은 연마재 입자는 이의 콜로이드성 분산 상태에서 표면 전하를 갖는다. 이러한 표면 전하는 pH에 따라 변화하고, 표면 전하의 이러한 변화의 직접적 징후는 제타 전위 값이다. 제타 전위(ZP)가 pH에 따라 변화함에 따라, 시스템의 ZP가 0인 특정 pH 값이 존재할 수 있다. (특정 pH에서) 0 제타 전위의 이러한 조건을 등전점(isoelectric point, IEP)이라고 한다. IEP에서의 분산 시스템은 일반적으로 매우 불안정하고, IEP pH에서 입자는 응집하여 입자 크기를 증가시킬 수 있다. 비이온성 콜로이드성 실리카는 산성 상태에서 2개의 IEP(pH ~2 및 pH ~4)를 가지므로, 산성 pH 레짐에서 불안정하다(도 2 참조; 콜로이드성 실리카(S)). 따라서, 연마재가 불안정하고(ZP는 0 내지 ±10; 도 2 참조), 응집/겔화 및 이들 CMP 슬러리의 입자 크기 증가를 초래하기 때문에, 2 - 5 범위의 작동(operating) pH를 가지는 연마 조성물(슬러리)에 비이온성 콜로이드성 실리카를 사용하는 것은 기술적으로 매우 곤란하다. 이러한 CMP 슬러리의 입자 크기 증가는 연마 동안 웨이퍼(wafer) 상에 스크레치 및 결함을 유발하고 웨이퍼 및 다이(die) 전체에 일정하지 않은 CMP 물질 제거율(RR)을 유발하여, 결국 장치 고장을 일으키므로 유해하다. 따라서, 이러한 안정한 연마재를 함유하는 CMP 슬러리가 제형화될 수 있도록 산성 pH 레짐에서 안정한 연마재(예컨대, 실리카)를 갖는 것이 바람직하다. 추가로, 유전체를 연마하는 데 사용되는 대부분의 FEOL 슬러리는 산성 pH 범위에서 작동하므로, 산성 pH에서 콜로이드성의 안정한 연마재 분산액을 가져야 할 필요성이 재강조된다. 따라서, 연마재 입자의 안정성을 증가시키기 위하여, 연마재의 표면은 이들 연마재 상에 음전하/양전하를 부여하기 위해 음이온성 또는 양이온 그룹으로 개질되고, 그것에 의해 이의 절대 제타 전위 값을 증가시키고, 산성 pH 레짐에서 안정한 동일한 입자를 만들 수 있다. 예를 들어, 비이온성 실리카의 말단 실란올(Si-O-H) 그룹 중 일부(도 2; 콜로이드성 실리카(S))는 pH 2 내지 5의 범위에서 + 30mV의 ZP를 초과하는 양이온성 실리카(C 실리카)를 얻기 위해 말단 양이온 그룹에 의하여 개질될 수 있고, 따라서 이는 이러한 pH 범위에서 안정한 콜로이드성 분산액(도 2; 양이온성 실리카(C))이다. 음이온 그룹을 갖는 비이온성 실리카의 유사한 개질은 전체 2 내지 8의 pH 범위에서 약 -50 mV의 ZP를 갖는 음이온성 실리카(A 실리카)를 유도할 수 있다(도 2; 음이온성 실리카(A)).
본 발명에서, 개질된 양이온성/음이온성 실리카의 제타 전위(ZP) 및 3개의 널리 보급된 유전 필름: SiN, SiO2(TEOS) 및 P-Si의 ZP가 주의 깊게 분석되었다. 이들 물질 중 6개 모두(3개의 실리카 유형 및 3개의 필름 유형)의 ZP를 도 2에 나타내었다. 이론에 얽매이지 않는 범위에서, 본 발명은 이들 6개의 물질의 ZP 변화 및 하전된 연마재와 필름 유형 사이의 정전기적 척력/인력을 기반으로 하여, 2 내지 7의 산성 pH 범위에서 다양한 선택적인 FEOL 유전 CMP 슬러리가 제형화될 수 있음을 상정한다. 또한, 아래 실시예 1 내지 3에 제시된 유전체에 대한 CMP 연마 데이터는 연마 조성물이 반도체 기판상에서, 연마재 입자의 전하 및 제거될 필름의 전하를 기반으로 하여 유전 필름을 우선적이고 선택적으로 연마할 수 있다는 가정을 입증한다. 본 발명은 연마재 및 유전 필름의 제타 전위 변화(값, 극성)를 활용하고(도 2 참조), 바람직한 CMP 연마 성능을 제공하기 위해 정전하 상호작용을 이용한다.
본 발명의 맥락에서, 하전된 연마재는 표면 개질되어 양전하(양이온성 연마재) 또는 음전하(음이온성 연마재)를 갖는 연마재를 말한다. 예를 들어, 비이온성 콜로이드성 실리카는 실란 커플링제에 의하여 개질된 이의 말단 실란올(Si-O-H) 그룹 중 일부를 가질 수 있다. 실란 커플링제는 전형적으로 하기 식의 화합물이고:
(RO-)3Si-(CH2)n-음이온 그룹/양이온 그룹 1
여기서, R은 알킬(예컨대, 메틸(CH3) 또는 에틸(CH3CH2))이고; n은 커플링제 내의 CH2 그룹의 수(전형적으로, n은 1 내지 10 사이의 값을 가짐)이고; 음이온/양이온 그룹은 하전된 실리카의 유형(음이온성 대 양이온성)에 대한 말단 그룹을 말한다. 이러한 실란 커플링제는 하전된/개질된 실리카를 제공하기 위해 가수분해 및 축합반응에 의하여 비이온성 실리카와 반응할 수 있다. 이러한 반응을 아래에 나타낸다:
Si-O-H/SiO2 + (RO-)3Si-(CH2)n-음이온/양이온 그룹 → 하전된 실리카 2
반응(2)에서 하전된 실리카는 실리카(SiO2), 일부 잔존하는 실란올 그룹(Si-OH), 및 실란 커플링제의 양이온/음이온 그룹((-O-)3Si-(CH2)n-음이온/양이온)을 실리카에 결합시키는 일부 실록산(-Si-O-Si-O-)을 포함한다. 일단 비이온성 실리카가 이들 실란 커플링제에 의하여 개질되면, 개질된 실리카의 제타 전위가 변화하고, 이들 "하전된" 분산액은 이제 산성 pH 레짐에서 안정해진다.
일부 경우에 있어서, 음이온성 실리카를 형성하기에 적합한 실란 커플링제는 머캅토알킬트리메톡시실란(예를 들어, 3-머캅토프로필트리메톡시실란)일 수 있다. 이러한 구현예에서, 상기 나타낸 실란 커플링 반응(반응식 2 참조)이 완료된 후, 말단 -SH(티올) 그룹은 개질된 음이온성 실리카 상에 말단 -SO3H 그룹을 형성하기 위해 과산화수소(또는 다른 산화제)를 사용하여 산화될 수 있다.
개질 전의 실리카(비이온성 실리카(S)) 및 개질 후의 실리카(양이온성(C) & 음이온성(A) 실리카)의 제타 전위를 도 2에 나타내었다. 음의 제타 전위는 입자 표면이 분산액 중에서 음으로 하전되고(음이온성), 양이온성 연마재에 대해서는 그 반대(양으로 하전됨) 임을 나타낸다. 비이온성 연마재는 음이온/양이온 그룹을 연마재 입자의 일부에 부착시킴으로써 하전된 연마재로 개질된다. 실란 커플링제와의 화학적 결합에 의하여 하전된 연마재를 제조하는 이러한 개질은 상기에 나타내었다. 그러나, 하전된 연마재를 얻기 위해 실리카 입자 상부에 자가조립(self-assembled) 다중 단층을 물리적으로 형성하는 것 또는 실리카 입자의 표면상의 음이온/양이온 그룹의 물리적 흡착과 같은 다른 방법이 사용될 수 있다. 추가로, "비이온성 실리카"로부터 개질된 "음이온성 실리카"는 암모늄, 나트륨, 칼륨 또는 알루미늄 양이온과 같은 양이온성 종(cationic species)을 도입함으로써 수행될 수도 있다. 이는 입자의 표면으로의 알루미늄(Al)의 혼입이 -Al-OH 그룹의 형성을 유도하는, 물-유리(Water-Glass) 실리카(규산나트륨(물-유리) 원료로부터 제조된 무기 실리카)의 경우 특히 그러하다. 이는 2 내지 6의 pH 레짐에서 매우 고도로 음으로 하전된 표면(음이온성 실리카)을 야기한다. 반대로, 물-유리 실리카로부터의 양이온성 졸(sol)에 대하여, 표면은 산화알루미늄(Al2O3)으로 코팅될 수 있다. 이는 특히 pH 4 미만의 산성 pH 값에서, 실리카의 표면상의 전하를 양성(양이온성 실리카)으로 만든다.
본 발명의 조성물을 연마하는 데 사용되는 실리카(양이온성 또는 음이온성)는 CMP 산업에서 사용되는 4가지의 가장 널리 보편화된 실리카 제조 방법 중 어느 하나로부터 제조될 수 있다: 1) 전구체/출발 물질로서 테트라메틸 오르토실리케이트(TetraMethyl OrthoSilicate, TMOS: Si(OCH3)4)의 졸-겔 반응(가수분해 및 축합을 포함)에 의하여 수득된 유기 실리카, 2) 전구체/출발 물질로서 테트라에틸 오르토실리케이트(TetraEthyl OrthoSilicate, TEOS: Si(OCH2CH3)4)의 졸-겔 반응(가수분해 및 축합을 포함)에 의하여 수득된 유기 실리카, 3) 전구체/출발 물질로서 규산나트륨(예를 들어, 물-유리/규산나트륨: Na2SiO3)의 희석, 이온 교환, 입자 성장법(seed growth) 및 농축 반응에 의하여 수득된 무기 실리카, 및 4) 전구체/출발 물질로서 테트라클로로실란(SiCl4)의 기체-상 연소(gas-phase combustion) 반응에 의하여 수득된 무기 실리카. 4개의 실리카 제조 방법 중에서, 본 발명에서 사용된 하전된 연마재를 위한 가장 바람직한 방법은 TMOS로부터 수득된 유기 실리카이다(상기 방법 1).
하전된 연마재(즉, 양이온성 또는 음이온성 연마재)는 산화물, 예컨대 알루미나, 실리카, 티타니아, 세리아, 지르코니아, 이들의 공동 형성된 생성물, 또는 이들의 혼합물을 포함할 수 있다. 일부 구현예에서, 양이온성 또는 음이온성 연마재는 콜로이드성 산화물, 예컨대 콜로이드성 알루미나, 콜로이드성 실리카 또는 콜로이드성 티타니아를 포함할 수 있다.
일부 구현예에서, 양이온성 연마재는 양이온성 콜로이드성 실리카 또는 염기 고정 비이온성 실리카(예를 들어, 염기성 그룹을 포함하도록 물리적 또는 화학적으로 개질된 비이온성 실리카)를 포함할 수 있다. 일부 구현예에서, 양이온성 연마재는 화학식 (I)의 말단 그룹을 포함할 수 있다:
-Om-X-(CH2)n-Y (I),
여기에서 m은 1 내지 3의 정수이고; n은 1 내지 10의 정수이고; X는 Ce, Al, Si, Ti 또는 Zr이고; 및 Y는 양이온성 아미노 또는 티올 그룹이다. 일부 구현예에서, 양이온성 연마재는 적어도 약 1 nm(예를 들어, 적어도 약 10 nm, 적어도 약 100 nm, 적어도 약 200 nm, 적어도 약 300 nm, 적어도 약 400 nm, 또는 적어도 약 500 nm) 내지 최대(most) 약 1000 nm(예를 들어, 최대 약 900 nm, 최대 약 800 nm, 또는 최대 약 700 nm)의 평균 입자 크기를 가지는 세리아를 포함할 수 있다.
일부 구현예에서, 음이온성 연마재는 음이온성 콜로이드성 실리카 또는 산 고정 비이온성 실리카(예를 들어, 산성 그룹을 포함하도록 물리적 또는 화학적으로 개질된 비이온성 실리카)를 포함할 수 있다. 일부 구현예에서, 음이온성 연마재는 화학식 (I)의 말단 그룹을 포함할 수 있다:
-Om-X-(CH2)n-Y (I),
여기에서 m은 1 내지 3의 정수이고; n은 1 내지 10의 정수이고; X는 Ce, Al, Si, Ti 또는 Zr이고, 및 Y는 산 그룹이다.
본원에 언급된 "음이온 그룹(anionic group)"은 설폰산, 인산 또는 카복실산과 같은 산, 또는 이들 산 중 어느 것의 음이온성 염일 수 있다. "양이온 그룹(cationic group)"은 전형적으로 아미노 그룹(-NH2), 티올(-SH) 그룹 또는 금속 염(예컨대, Na, K, 또는 Al 염) 또는 이들 또는 관련 염기 중의 어느 것의 양이온성 염이다. 예를 들어, 말단 -NH2 그룹을 갖는 (CH3O)3Si(CH2)3-NH2와 같은 실란 커플링제는 말단 -NH2 염기 그룹을 갖는 양이온성 실리카를 수득하기 위해 사용될 수 있으며, 이는 종종 염기 고정 비이온성 실리카라고 한다. 시판 중인 하전된 연마재는 다음 판매사로부터 입수 가능하다 - 하전된 세리아(Solvay, 벨기에), 하전된 알루미나(Evonik Industries, 독일) 및 하전된 실리카(Fuso Chemical Co., Ltd., 일본 및 Nalco Company, 미국 일리노이주). 본 발명에서, "하전된 연마재(charged abrasive)"는 음으로 또는 양으로 하전된 연마재를 의미하며, 예를 들어 앞서 언급된 그것들 각각의 판매사로부터 시판 중인 하전된 연마재를 포함한다. 그것들은 또한 음이온/양이온 그룹에 의하여 화학적으로 개질된(예컨대 산 고정) 인-하우스(in-house) 연마재, 인-시츄(in-situ) 전하 개질된 연마재, 다중 단층 형성 또는 물리적 표면 흡착에 의하여 물리적으로 개질된 연마재, 또는 원하는 전하를 부여하기 위한 임의의 다른 적합한 방법에 의하여 개질된 연마재를 포함한다. 이들 하전된 연마재는 다양한 유전 필름 제거율을 갖는 연마 조성물을 수득하기 위해 하나 이상의 다른 화학 물질과 함께 사용될 수 있다.
본 발명에서, 하전된 연마재 및/또는 이를 함유하는 연마 조성물은 약 0 mV 내지 약 ±100 mV(예를 들어, 약 ±5 mV 내지 약 ±90 mV 및 약 ±10 mV 내지 약 ±80 mV) 범위의 제타 전위를 가지는 것이 바람직하다. 예를 들어, 양이온성 연마재 및 이를 함유하는 연마 조성물은 2-7(예를 들어, 2-6)의 pH 레짐에서 0 mV 내지 +100 mV의 범위(예를 들어, 약 1 내지 약 +100 mV, 약 +5 mV 내지 약 +90 mV, 약 +10 mV 내지 약 +80 mV, 약 +20 mV 내지 약 +70 mV, 또는 약 +30 mV 내지 약 +50 mV)의 제타 전위(예를 들어, 양의 제타 전위)를 가질 수 있는 반면, 음이온성 연마재 및 이를 함유하는 연마 조성물은 2-7(예를 들어, 2-6)의 pH 레짐에서 0 mV 내지 -100 mV의 범위(예를 들어, 약 -1 mV 내지 약 -100 mV, 약 -5 mV 내지 약 -90 mV, 약 -10 mV 내지 약 -80 mV, 약 -20 mV 내지 약 -70 mV, 약 -30 mV 내지 약 -60 mV, 또는 약 -40 mV 내지 약 -50 mV)의 제타 전위(예를 들어, 음의 제타 전위)를 가질 수 있다. 이를 도 2에 나타내었다.
본원에 기재된 연마 조성물의 전하는 또한 그것의 전기 전도성에 의하여 측정될 수도 있다. 예를 들어, 연마 조성물은 센티미터당 약 0.01 내지 약 100 밀리-지멘스 퍼 센티미터 (mS/cm)의 범위, 또는 그 사이의 임의의 부분 범위(subrange), 약 0.1 내지 약 10 mS/cm, 또는 그 사이의 임의의 부분 범위, 또는 약 0.5 내지 약 5 mS/cm, 또는 그 사이의 임의의 부분 범위의 전도성을 가질 수 있다.
하전된 연마재(양이온성 또는 음이온성)는 조성물의 총 중량을 기준으로 하여 약 0.01 중량% 내지 약 50 중량%, 또는 그 사이의 임의의 부분 범위, 또는 조성물의 총 중량을 기준으로 하여 약 0.05 중량% 내지 약 40 중량%, 또는 그 사이의 임의의 부분 범위의 양으로 연마 조성물에 존재할 수 있다. 예를 들어, 양이온성 또는 음이온성 연마재는 연마 조성물의 총 중량을 기준으로 하여, 적어도 약 0.01 중량%(예를 들어, 적어도 약 0.1 중량, 적어도 약 0.5 중량%, 적어도 약 1 중량%, 또는 적어도 약 5 중량%) 내지 최대 약 50 중량%(예를 들어, 최대 약 40 중량%, 최대 약 30 중량%, 최대 약 25 중량%, 최대 약 20 중량%, 최대 약 10 중량%, 또는 최대 약 5 중량%)의 양으로 존재할 수 있다.
하전된 연마재 이외에, 본 발명의 연마 조성물은 pH를 연마 조성물의 작동 pH로 조절하기 위해 pH 조절제(예를 들어, 산, 염기 또는 둘 다)를 함유할 수 있다. pH를 조절하는 데 적합한 산은 (이들로 제한되는 것은 아니지만) 카복실산, 예컨대 포름산, 아세트산, 말론산, 시트르산, 프로피온산, 말산, 아디프산, 숙신산, 락트산, 옥살산, 하이드록시에틸리덴 디포스폰산, 2-포스포노-1,2,4-부탄 트리카복실산, 아미노트리메틸렌 포스폰산, 헥사메틸렌디아민 테트라(메틸렌포스폰산), 비스(헥사메틸렌) 트리아민 포스폰산, 아미노아세트산, 과산화아세트산, 아세트산칼륨, 페녹시아세트산, 글리신, 비신, 디글리콜산, 글리세린산, 트리신, 알라닌, 히스티딘, 발린, 페닐알라닌, 프롤린, 글루타민, 아스파르트산, 글루탐산, 아르기닌, 리신, 티로신 또는 벤조산, 및 무기산, 예컨대 질산, 황산, 아황산, 인산, 포스폰산, 염산, 과요오드산 또는 이들의 임의의 혼합물을 포함한다. pH를 조절하는데 적합한 염기는 (이들로 제한되는 것은 아니지만) 수산화칼륨, 수산화나트륨, 수산화세슘, 수산화암모늄, 트리에탄올아민, 디에탄올아민, 모노에탄올아민, 테트라부틸암모늄 하이드록사이드, 테트라메틸암모늄 하이드록사이드, 수산화리튬, 및 임의의 아졸 함유 염기, 예컨대 이미다졸, 트리아졸, 아미노트리아졸, 테트라졸, 벤조트리아졸, 톨리트리아졸, 피라졸 또는 이소티아졸, 및 이들의 임의의 조합을 포함한다.
일부 구현예에서, pH 조절제(예를 들어, 산, 염기 또는 둘 다)는 본원에 기재된 연마 조성물의 총 중량을 기준으로 하여, 적어도 약 0.0001 중량%(예를 들어, 적어도 약 0.001 중량%, 적어도 약 0.01 중량%, 적어도 약 0.1 중량%, 적어도 약 0.5 중량%, 적어도 약 1 중량%, 적어도 약 5 중량%, 또는 적어도 약 10 중량%) 내지 최대 약 30 중량%(예를 들어, 최대 약 25 중량%, 최대 약 20 중량%, 최대 약 15 중량%, 최대 약 10 중량%, 최대 약 5 중량%, 또는 최대 약 1 중량%)의 양으로 존재할 수 있다.
일부 구현예에서, 본원에 기재된 연마 조성물은 액상 매질, 예컨대 물을 포함할 수 있다. 일부 구현예에서, 물은 본원에 기재된 연마 조성물의 적어도 약 20 중량%(예를 들어, 적어도 약 30 중량%, 적어도 약 40 중량%, 적어도 약 50 중량%, 적어도 약 60 중량%, 적어도 약 70 중량%, 적어도 약 80 중량%, 적어도 약 90 중량%, 또는 적어도 약 95 중량%) 내지 최대 약 99 중량%(예를 들어, 최대 약 98 중량%, 최대 약 97 중량%, 최대 약 96 중량%, 또는 최대 약 95 중량%)의 양일 수 있다.
일부 구현예에서, 본원에 기재된 양이온성 또는 음이온성 연마재를 함유하는 연마 조성물은 할라이드 염, 중합체(예를 들어, 양이온성 또는 음이온성 중합체), 계면활성제, 가소제, 산화제, 부식 억제제(예를 들어, 아졸 또는 비-아졸 부식 억제제)와 같은 특정 성분들 및/또는 비이온성 연마재 중의 하나 이상을 실질적으로 포함하지 않을 수 있다. 연마 조성물로부터 배제될 수 있는 할라이드 염은 알칼리 금속 할라이드(예를 들어, 나트륨 할라이드 또는 칼륨 할라이드) 또는 암모늄 할라이드(예를 들어, 염화암모늄)을 포함하며, 염화물, 브롬화물 또는 요오드화물일 수 있다. 본원에서 사용된 바와 같이, 연마 조성물에 "실질적으로 포함되지 않는(substantially free)" 성분은 연마 조성물 내에 의도적으로 첨가되지 않은 성분을 말한다. 일부 구현예에서, 본원에 기재된 연마 조성물은 연마 조성물에 실질적으로 포함되지 않는 상기 성분 중 하나 이상을 최대 약 1000 ppm(예를 들어, 최대 약 500 ppm, 최대 약 250 ppm, 최대 약 100 ppm, 최대 약 50 ppm, 최대 약 10 ppm, 또는 최대 약 1 ppm)으로 포함할 수 있다. 일부 구현예에서, 기재된 연마 조성물은 하나 이상의 상기 성분을 완전히 포함하지 않을 수 있다.
본 발명의 조성물의 pH는 약 2 내지 약 7, 또는 그 사이의 임의의 부분 범위일 수 있다. pH는 또한 약 3 내지 약 6, 또는 그 사이의 임의의 부분 범위, 또는 약 3.4 내지 약 5.75, 또는 그 사이의 임의의 부분 범위일 수 있다. 예를 들어, pH는 적어도 약 2(예를 들어, 적어도 약 2.5, 적어도 약 3, 적어도 약 3.5, 적어도 약 4, 적어도 약 4.5, 또는 적어도 약 5) 내지 최대 약 7(예를 들어, 최대 약 6.5, 최대 약 6, 최대 약 5.5, 최대 약 5, 최대 약 4.5, 또는 최대 약 4)일 수 있다. pH는 써모 피셔 사이언티픽(Thermo Fisher Scientific)사로부터 입수 가능한 것과 같은 pH 미터를 사용하여 측정할 수 있다.
일부 구현예에서, 본원에 기재된 하전된(양이온성 또는 음이온성) 연마재는 약 1 nm 내지 5000 nm(예를 들어, 약 1 nm 내지 1000 nm, 약 1 nm 내지 500 nm, 및 약 1 nm 내지 150 nm) 또는 이의 임의의 부분 범위의 평균 입자 크기를 가질 수 있다. 예를 들어, 하전된 연마재는 적어도 약 1 nm(예를 들어, 적어도 약 5nm, 적어도 약 10 nm, 적어도 약 50 nm, 적어도 약 100 nm, 적어도 약 200 nm, 적어도 약 300 nm, 적어도 약 400 nm, 또는 적어도 약 500 nm) 내지 최대 약 5000 nm(예를 들어, 최대 약 2500 nm, 최대 약 1000 nm, 최대 약 750 nm, 최대 약 500 nm, 최대 약 250 nm, 또는 최대 약 100 nm)의 평균 입자 크기를 가질 수 있다. 이론에 얽매이지 않는 범위 내에서, 보다 작은 입자 크기를 갖는 음이온성 연마재는 보다 낮은 (TEOS) 제거율을 우선적으로 제공하여, 더 낮은 TEOS 제거율이 필요한 시스템(음이온성 실리카 시스템)에서 SiO2에 대한 전체 선택성을 개선시키는 이점이 있다고 여겨진다. 반대로, 더 큰 입자 크기를 갖는 양이온성 연마재는 더 높은 SiO2(TEOS) 제거율을 우선적으로 제공하여, 더 높은 SiO2 제거율이 필요한 시스템(양이온성 실리카 시스템)에서 SiO2에 대한 전체 선택성을 개선시키는 이점이 있다고 여겨진다. 추가로, 높은 SiN 필름 제거율이 필요한 음이온성 실리카 시스템에 대하여, 보다 작은 입자는 더 큰 전체 표면적을 가지므로 실리카 상의 활성 결합 부위가 표면적 증가로 증가함에 따라 SiN 필름 제거율을 증가시키기 때문에, 보다 작은 입자 크기가 더 큰 SiN 제거율을 제공한다고 여겨진다. 본원에서 사용된 바와 같이, 본 발명에서 사용된 "입자 크기(particle size)"는 동적 광 산란 기술에 의하여 측정된 평균 입자 크기(mean particle size, MPS)이다. 예를 들어, MPS는 상업용 동적 광 산란 공구(제조원: Malvern Instruments Ltd.)를 사용하여 측정될 수 있다.
상기 논의 및 하기 실시예에서, 본 발명의 조성물은 가장 널리 보편화된 유전 필름, 즉 SiN 필름, SiO2(TEOS) 필름 및 P-Si 필름을 연마하는 것과 함께 논의된다. 그러나, 당해 조성물은 또한 탄화규소(SiC), 질화탄소규소(SiCxNy), 산화탄규소(SiCxOy), 스핀-온-카본(C), 탄소 단독(C) 및 수소화탄화규소(SiCxHy)를 연마하는 데 사용될 수도 있다. 추가로, 연마되는 유전 물질은 저-k 유전체(SiCxOyHz) 및 초-저 k(ULK) 유전(SiCxOyHz) 물질일 수 있다. 저-k 및 ULK 유전 물질의 몇 가지 일반적인 예는 각각 블랙 다이아몬드 I 및 II(제조원: Applied Materials)이다.
일부 구현예에서, 본원에 기재된 CMP 연마 조성물 또는 슬러리는 다양한 밀도 및 두께 수준으로 금속과 유전 필름의 불균질 조합을 포함하는 패턴화된 웨이퍼를 연마하는 데 사용될 수 있다. CMP 조성물의 최종 목표는 패턴화된 웨이퍼 상의 모든 피크(peak)와 밸리(valley)를 평평하게 하고 평탄화시키는 것이다. 따라서, 본 발명의 연마 조성물은, 유전 필름을 연마하는 데 사용되는 경우, 패턴화된 웨이퍼 상의 금속, 금속 산화물 또는 금속 질화물 필름을 연마할 수도 있다. 연마될 수 있는 금속의 일반적인 예는 구리, 루테늄, 코발트, 알루미늄, 탄탈럼, 티타늄 및 텅스텐을 포함한다. 유사하게는, 연마될 수 있는 금속 산화물의 일반적인 예는 산화하프늄, 산화티타늄, 산화지르코늄, 산화탄탈럼, 산화알루미늄, 산화텅스텐 및 산화이트륨을 포함한다. 연마될 수 있는 금속 질화물의 일반적인 예는 질화탄탈럼, 질화티타늄, 질화텅스텐 및 질화코발트를 포함한다. 따라서, 본 발명의 연마 조성물은 패턴화된 웨이퍼의 연마 동안 언제라도 집적 요건에 따라 다수의 유전 필름 및 금속/금속 산화물/금속 질화물 필름을 연마할 수 있다.
일반적으로, 본 발명은 또한 본원에 기재된 하나 이상의 연마 조성물을 사용하는 방법을 특징으로 한다.
일부 구현예에서, 본 발명은 양이온성 연마재를 함유하는 연마 조성물을 사용하는 연마 방법을 특징으로 한다. 이러한 구현예에서, 방법은 (a) 기판의 표면상에 질화규소, 및 산화규소 및 폴리실리콘 중 하나 이상을 갖는 기판에 연마 조성물을 도포하는 단계(여기서, 조성물은 양이온성 연마재, 산 또는 염기 및 물을 포함하고, 조성물은 약 2 내지 약 7의 pH를 가짐), 및 (b) 패드를 기판과 접촉시키고, 기판에 대하여 패드를 이동시키는 단계를 포함할 수 있다. 기판은 패턴화된 웨이퍼와 같은 반도체 기판일 수 있다. 이러한 구현예에서, 방법은 산화규소 및 폴리실리콘 중 하나 이상의 적어도 일부를 제1 제거율로 제거하고, 방법은 질화규소의 적어도 일부를 제2 제거율로 제거하고, 제1 제거율 대 제2 제거율의 비는 적어도 약 2:1이다. 일부 구현예에서, 제1 제거율 대 제2 제거율의 비는 적어도 약 3:1(예를 들어, 적어도 약 4:1, 적어도 약 5:1, 적어도 약 6:1, 적어도 약 7:1, 적어도 약 8:1, 적어도 약 9:1, 적어도 약 10:1, 적어도 약 15:1, 적어도 약 20:1, 또는 적어도 약 50:1) 또는 최대 약 200:1(또는 최대 약 100:1)일 수 있다.
일부 구현예에서, 양이온성 연마재를 함유하는 연마 조성물과 산화규소 또는 폴리실리콘 사이의 제타 전위차는 적어도 약 20 mV(예를 들어, 적어도 약 30 mV, 적어도 약 40 mV, 적어도 약 50 mV, 적어도 약 60 mV, 적어도 약 70 mV, 적어도 약 80 mV, 적어도 약 90 mV, 또는 적어도 약 100 mV) 및 최대 약 200 mV(예를 들어, 최대 약 150 mV)일 수 있다. 일부 구현예에서, 양이온성 연마재를 함유하는 연마 조성물과 질화규소 사이의 제타 전위차는 최대 약 20 mV(예를 들어, 최대 약 15 mV, 최대 약 10 mV, 최대 약 5 mV 또는 최대 약 1 mV) 또는 약 0 mV이다. 이론에 얽매이지 않는 범위 내에서, 양이온성 연마재를 함유하는 연마 조성물이 산화규소 또는 폴리실리콘에 대해 상대적으로 큰 제타 전위차를 갖고, 질화규소에 대해 상대적으로 작은 제타 전위차를 갖는 경우, 연마 조성물은 질화규소를 임의의 실질적인 양으로 제거하는 일 없이(즉, SiN에 대한 연마 중단) 산화규소 또는 폴리실리콘을 선택적으로 제거할 수 있다고 여겨진다.
일부 구현예에서, 양이온성 연마재를 함유하는 연마 조성물을 사용하는 연마 방법은 기판상의 실질적으로 모든 산화규소 및/또는 실질적으로 모든 폴리실리콘을 제거할 수 있다. 일부 구현예에서, 이러한 연마 방법은 기판상의 질화규소의 적어도 일부(예를 들어, 실질적으로 전부)를 (예를 들어, 음이온성 연마재를 함유하는 연마 조성물을 사용함으로써) 제거하는 단계를 추가로 포함할 수 있다.
일부 구현예에서, 본 발명은 음이온성 연마재를 함유하는 연마 조성물을 사용하는 연마 방법을 특징으로 한다. 이러한 구현예에서, 방법은 (a) 기판의 표면상에 질화규소 및 폴리실리콘을 갖는 기판에 연마 조성물을 도포하는 단계(여기서, 조성물은 음이온성 연마재, 산 또는 염기, 및 물을 포함하고, 조성물은 약 2 내지 약 7의 pH를 가짐); 및 (b) 패드를 기판과 접촉시키고, 기판에 대하여 패드를 이동시키는 단계를 포함할 수 있다. 기판은 패턴화된 웨이퍼와 같은 반도체 기판일 수 있다. 이러한 구현예에서, 방법은 질화규소의 적어도 일부를 제1 제거율로 제거할 수 있고, 폴리실리콘의 적어도 일부를 제2 제거율로 제거할 수 있고, 제1 제거율 대 제2 제거율의 비는 적어도 약 2:1이다. 일부 구현예에서, 제1 제거율 대 제2 제거율의 비는 적어도 약 3:1(예를 들어, 적어도 약 4:1, 적어도 약 5:1, 적어도 약 6:1, 적어도 약 7:1, 적어도 약 8:1, 적어도 약 9:1, 적어도 약 10:1, 적어도 약 15:1, 적어도 약 20:1 또는 적어도 약 50:1) 또는 최대 약 200:1(또는 최대 약 100:1)일 수 있다.
일부 구현예에서, 음이온성 연마재를 함유하는 연마 조성물과 질화규소 사이의 제타 전위차는 적어도 약 20 mV(예: 적어도 약 30 mV, 적어도 약 40 mV, 적어도 약 50 mV, 적어도 약 60 mV, 적어도 약 70 mV, 적어도 약 80 mV, 적어도 약 90 mV, 또는 적어도 약 100 mV)이고, 최대 약 200 mV(예를 들어, 최대 약 150 mV)이다. 일부 구현예에서, 음이온성 연마재를 함유하는 연마 조성물과 폴리실리콘 사이의 제타 전위차는 최대 약 20 mV(예를 들어, 최대 약 15 mV, 최대 약 10 mV, 최대 약 5 mV 또는 최대 약 1 mV) 또는 약 0 mV이다. 이론에 얽매이지 않는 범위 내에서, 음이온성 연마재를 함유하는 연마 조성물이 질화규소에 대하여 상대적으로 큰 제타 전위차를 갖고 폴리실리콘에 대하여 상대적으로 작은 제타 전위차를 갖는 경우, 연마 조성물은 폴리실리콘을 임의의 실질적인 양으로 제거하는 일 없이(즉, 폴리실리콘에 대한 연마 중단) 질화규소를 선택적으로 제거할 수 있다고 여겨진다.
일부 구현예에서, 음이온성 연마재를 함유하는 연마 조성물을 사용하는 연마 방법은 기판상의 실질적으로 모든 질화규소를 제거할 수 있다. 일부 구현예에서, 이러한 연마 방법은 (예를 들어, 양이온성 연마재를 함유하는 연마 조성물을 사용함으로써) 기판상의 폴리실리콘의 적어도 일부(예를 들어, 실질적으로 모두)를 제거하는 단계를 추가로 포함할 수 있다.
일부 구현예에서, 양이온성 연마재 또는 음이온성 연마재를 함유하는 연마 조성물을 사용하는 연마 방법은 하나 이상의 추가의 단계를 통하여 본원에 기재된 연마 조성물에 의해 처리된 기판으로부터 반도체 장치를 제조하는 단계를 추가로 포함할 수 있다.
본원에서 인용된 모든 문헌(예를 들어, 특허 문서, 특허 출원 공개물 및 논문)의 내용은 그 전체가 여기에 참조로서 포함된다.
실시예
본 발명의 연마 조성물 및 방법의 성능을 추가로 예시하기 위하여 실시예를 제공한다. 제공된 실시예는 본 발명의 범위를 제한하고자 하는 것이 아니며, 제한하는 것으로 해석되어서는 안된다.
실시예 및 도면의 개요
도 1 및 2는 유전체의 선택적인 연마를 위하여 하전된 연마재를 사용하는 전체적인 컨셉을 나타낸다. 도 3(실시예 1: 높은 SiN/SiO2 선택성을 위한 음이온성 실리카), 도 4(실시예 2: 높은 SiN/P-Si 선택성을 위한 음이온성 실리카) 및 도 5(실시예 3: 높은 SiO2/SiN 선택성을 위한 양이온성 실리카)는 실제 실험 결과가 상기 컨셉과 일치함을 보여준다.
도 1은 사례 I에서 음이온성 실리카가 사용되는 경우, 실리카의 표면 전하 및 결과적으로 슬러리가 음성임을 나타낸다. 도 1은 또한 3개의 시험된 유전 필름(즉, SiN, SiO2 및 P-Si 필름)의 표면 전하를 나타낸다. 사례 I에서 알 수 있는 바와 같이, SiN 필름은 양전하를 포함하고, SiO2 필름은 음전하를 포함한다. P-Si 필름은 SiO2 필름보다 훨씬 더 음전하를 띈다. 즉, SiN, SiO2 및 P-Si 필름은 다음의 음전하 스케일, P-Si >> SiO2 >> SiN을 따른다. 이러한 필름의 정전하는 또한 제타 전위 대 pH 값으로서 도 2에 추가로 도시하였다. 따라서, 사례 I의 경우, 정전기적 인력 및/또는 척력을 기반으로 하여(인력은 실리카와 필름이 끌어당겨서 높은 제거율(RR)을 제공함을 의미하고; 반대로 척력은 실리카와 필름이 밀어내어 필름에 대한 낮은 RR을 제공함을 의미함), 음이온성 실리카(A)가 높은 SiN 제거율을 제공하고 SiO2 및 P-Si 필름에 대한 낮은 제거율을 제공할 것임을 가정하였다. 이러한 가정의 실험적 확인은 도 3 및 4에 나타내었다.
반대로, 사례 II의 경우, 양이온성 실리카(C)의 예를 도시하였으며, 여기서 실리카 및 결과적으로 이러한 실리카를 함유하는 CMP 슬러리는 양의 표면 전하/제타 전위를 갖는다. 도 2는 2-11의 pH 범위에서 양이온성 실리카 및 SiN, SiO2 및 P-Si 필름의 제타 전위/표면 전하를 제공한다. 도 1에 나타낸 사례 II에서는, 양이온성 실리카(C)가 SiO2 및/또는 P-Si 필름에 대한 높은 제거율을 제공하고, SiN 필름에 대한 낮은 RR을 제공할 것임을 가정하였다. 이러한 가정의 실험적 확인은 도 5에 나타내었다.
실시예 1: 높은 SiN / SiO 2 선택성의 음이온성 실리카
이 실시예는 높은 SiN 제거율(RR) 및 낮은 SiO2 제거율을 나타내는 CMP 슬러리 조성물에서의 음이온성 실리카(음으로 하전된 실리카)의 용도를 입증한다.
이 실시예에서, 연마 조성물 또는 슬러리는 1 중량%의 음이온성 실리카 연마재, pH 조절제로서의 산 및 염기, 및 액상 담체로서의 물을 포함하였다. 음이온성 실리카 연마재는 일본 푸소 케미칼 컴퍼니, 리미티드(Fuso Chemical Co., Ltd.)로부터 구입하였다. ~2-6.5의 산성 pH 범위에서 ~0.5 pH 값의 pH 중간값에서 슬러리를 수득하기 위하여, 음이온성 연마재를 함유하는 CMP 슬러리를 pH 조절제로 pH를 조절하였다. 모든 시험된 제형에 대하여, 어플라이드 매터리얼즈 미라(Applied Materials Mirra) CMP 연마기를 2 psi의 하향력(downforce) 및 175 mL/min의 유량으로 사용하여 8인치의 질화규소 및 산화규소 블랭킷 웨이퍼를 연마하였다. SiN 및 SiO2 필름에 대한 제거율로서 나타낸 연마 결과를 표 1에 요약하였다.
[표 1]
SiN 및 SiO2 필름에 대한 음이온성 연마재 함유 슬러리의 제거율 및 선택성. 데이터의 도식적 표현은 도 3에 나타나 있다.
Figure pat00002
음이온성 실리카의 제타 전위(ZP)는 어쿠스토사이저 II(제조원: Colloidal Dynamics)를 사용하여 측정하였고, SiN 필름 및 TEOS 필름의 ZP는 SurPASS 3(제조원: Anton Paar)에 의하여 측정하였다. 결과를 표 2에 요약하였다. 표 2는 또한 SiN 필름과 음이온성 실리카 사이 및 SiO2 필름과 음이온성 실리카 사이의 전하 분리(ZP 차의 절대값)를 상세히 설명한다.
[표 2]
음이온성 실리카, SiN 및 TEOS 필름의 제타 전위 및 전하 분리 값(mV). 데이터의 도식적 표현은 도 2에 나타나 있다.
Figure pat00003
표 2에 나타낸 바와 같이, CMP 조성물의 pH 값이 2 내지 6인 경우, SiN 필름은 양으로 하전되는(즉, 이의 ZP는 양성임) 반면, 음이온성 실리카는 음으로 하전된다(즉, 이의 ZP는 음성임). 그 결과, SiN과 음이온성 실리카 사이에 인력이 존재하고, 표 1 및 도 3에서 알 수 있는 바와 같이, 이는 SiN 필름에 대해 높은 RR을 나타낸다고 여겨진다. 반대로, SiO2 필름 및 음이온성 실리카는 둘 다 음으로 하전된다(즉, 이들의 ZP는 음성임). 그 결과, SiO2와 음이온성 실리카 사이에 척력이 존재하고, 이는 표 1 및 도 3에서 알 수 있는 바와 같이, SiO2 필름에 대해 낮은 RR을 나타낸다고 여겨진다. 확실히, 표 1에 나타낸 바와 같이, 음이온성 실리카 연마재를 함유하는 CMP 조성물은 높은 SiN 제거율, 낮은 SiO2 제거율 및 상대적으로 높은 SiN/SiO2 제거 선택성(즉, SiN을 SiO2보다 우선적으로 제거)을 나타내었다.
특히, 표 2는 pH 범위가 2 내지 4인 경우, 전하 분리가 SiN 필름과 음이온성 실리카 사이에서 가장 큼을 보여준다. 이러한 pH 범위에서, SiN RR이 가장 높다는 것(817 A/min 내지 491 A/min; 표 1 참조)은, 더 큰 전하 분리로 인해 양으로 하전된 SiN 필름과 음으로 하전된 음이온성 실리카 사이에 더 강한 인력이 존재하여, SiN 필름에 대한 더 높은 RR을 유도함을 나타낸다. 반대로, 표 2는 pH 범위가 4 내지 6인 경우, 전하 분리는 SiO2 필름과 음이온성 실리카(이들 둘 다 음으로 하전됨) 사이에서 가장 적음을 보여준다. 이러한 pH 범위에서, SiO2 필름 및 음이온성 실리카는 서로 최대로 밀어내어, 가장 낮은 SiO2 필름 RR(5 A/min 내지 12 A/min; 표 1 참조)을 제공한다.
실시예 1에서 논의된 CMP 슬러리 조성물은 패턴화된 웨이퍼 상에서, SiN과 SiO2 필름 사이의 높은 선택 비가 요구되는 집적에 사용될 수 있다. 산업계에서, 이는 통상적으로 SiN 필름을 높은 RR로 제거하고 SiO2 필름의 제거를 매우 낮은 내지는 0의 RR로 최소화시키는 것이 바람직한 역(Reverse)-STI(쉘로우 트렌치 분리) 선택성 스킴이라고 한다.
실시예 2: 높은 SiN /P- Si 선택성의 음이온성 실리카
이 실시예는 높은 SiN 제거율 및 낮은 P-Si(폴리-실리콘) 제거율을 나타내는 CMP 슬러리 조성물에서의 음이온성 실리카(음으로 하전된 실리카)의 용도를 입증한다.
이 실시예에서, 연마 조성물은 1 중량%의 음이온성 실리카 연마재, pH 조절제로서의 산 및 염기, 및 액상 담체로서의 물을 포함하였다. 음이온성 실리카 연마재는 일본 푸소 케미칼 컴퍼니, 리미티드로부터 구입하였다. ~2-6.5의 산성 pH 범위에서 ~0.5 pH 값의 pH 중간값에서 슬러리를 수득하기 위하여, 음이온성 연마재를 함유하는 CMP 슬러리를 pH 조절제로 pH를 조절하였다. 모든 시험된 제형에 대하여, 어플라이드 매터리얼즈 미라 CMP 연마기를 2 psi의 하향력 및 175 mL/min의 유량으로 사용하여 8인치의 질화규소 및 P-Si 블랭킷 웨이퍼를 연마하였다. SiN 및 P-Si 필름에 대한 제거율로서 나타낸 연마 결과를 표 3에 요약하였다.
[표 3]
SiN 및 P-Si 필름에 대한 음이온성 연마재 함유 슬러리의 RR 및 선택성. 데이터의 도식적 표현은 도 4에 나타나 있다.
Figure pat00004
음이온성 실리카의 제타 전위(ZP)는 어쿠스토사이저 II(제조원: Colloidal Dynamics)를 사용하여 측정하였고, SiN 필름 및 P-Si 필름의 ZP는 SurPASS 3(제조원: Anton Paar)에 의하여 측정하였다. 결과를 표 4에 요약하였다. 표 4는 또한 SiN 필름과 음이온성 실리카 사이 및 P-Si 필름과 음이온성 실리카 사이의 전하 분리(ZP 차의 절대값)를 상세히 설명한다.
[표 4]
음이온성 실리카, SiN 및 P-Si 필름의 제타 전위 및 전하 분리 값(mV). 데이터의 도식적 표현은 도 2에 나타나 있다.
Figure pat00005
표 3에 나타낸 바와 같이, CMP 조성물의 pH 값이 2 내지 7인 경우, SiN 필름은 양으로 하전되는 반면, 음이온성 실리카는 음으로 하전된다. 그 결과, SiN 필름과 음이온성 실리카 사이에 인력이 존재하고, 표 3 및 도 4에서 알 수 있는 바와 같이, 이는 SiN 필름에 대해 높은 RR을 나타낸다고 여겨진다. 반대로, P-Si 필름 및 음이온성 실리카는 둘 다 음으로 하전된다(즉, 이들의 ZP는 음성임). 그 결과, P-Si 필름과 음이온성 실리카 사이에 척력이 존재하고, 이는 표 3 및 도 4에서 알 수 있는 바와 같이, P-Si 필름에 대해 낮은 RR을 나타낸다고 여겨진다. 확실히, 표 3에 나타낸 바와 같이, 음이온성 실리카 연마재를 함유하는 CMP 조성물은 높은 SiN 제거율, 낮은 P-Si 제거율 및 상대적으로 높은 SiN/P-Si 제거 선택성(즉, SiN을 P-Si보다 우선적으로 제거)를 나타내었다.
SiN/P-Si 선택성(즉, 2-8)은 SiN/SiO2 선택성(즉, 4 내지 45)보다 다소 낮다. 더 낮은 SiN/P-Si 선택성은 3 내지 5의 pH 범위에서 약간 더 높은 P-Si RR(SiO2 RR과 비교하여)로 인한 것일 수 있다. TEOS 필름의 표면 화학은 P-Si 필름의 표면 화학과 약간 상이하다고 여겨진다. SiO2 필름은 표면에 말단 실란올 그룹(Si-OH)을 포함하는 반면, P-Si 필름은 표면에 말단 하이드라이드 그룹(Si-H)을 포함한다. 따라서, 음이온성 실리카는 또한 실란올(Si-OH) 표면 그룹을 갖기 때문에, 척력이 SiO2 필름과 연마 조성물 중의 음이온성 실리카 사이에서 더 현저하다고 여겨진다. 그러므로, 음이온성 실리카 중의 실란올 그룹상의 음전하는 SiO2 필름의 표면 실란올 그룹(Si-OH) 상의 음전하를 밀어내어 상대적으로 강한 척력이 발생하고, 결과적으로 SiO2 RR이 감소한다고 여겨진다. 반대로, P-Si 필름상의 하이드라이드 그룹(Si-H)으로부터의 수소는 음으로 하전되지 않으므로 SiO2 필름만큼 강하게 음이온성 실리카를 밀어내지 않기 때문에, TEOS 필름과 비교하여 보다 높은 P-Si 필름 RR을 제공한다. 그 결과, 음이온성 실리카 함유 CMP 조성물을 사용하여 이들 필름을 연마시, SiN/P-Si 선택성은 SiN/SiO2 선택성보다 낮다고 여겨지며, 이는 상기 기재된 실험에 의하여 확인되었다.
다른 한편으로, 특정 유전 필름 제거율 선택성에 대한 하전된 연마재 함유 CMP 조성물 디자인의 일반적인 컨셉은 여전히 적용 가능하다. 상기 나타낸 바와 같이, 양의 ZP를 갖는 SiN 필름은 음이온성 실리카와의 인력으로 인하여 매우 높은 RR을 나타내고, 음의 ZP를 갖는 P-Si 필름은 음이온성 실리카와의 척력으로 인하여 낮은 RR을 나타낸다. 따라서, 음이온성 연마재 함유 CMP 조성물은 높은 SiN 및 낮은 P-Si 제거율이 필요한 반도체 집적 스킴에 사용될 수 있다. FEOL 칩 어셈블리에서의 다수의 집적은 추가의 금속, 금속 산화물, 금속 질화물 및 유전 필름과 같은 도체 및/또는 절연체를 갖는 패턴화된 웨이퍼에 대한 이러한 선택성을 필요로 한다. SiN/SiO2 선택성만큼 높지는 않지만, 음이온성 연마재 함유 CMP 조성물을 이용한 2 내지 8의 SiN/P-Si의 선택성은 목표가 SiN 필름을 제거하고 P-Si 필름의 연마를 중단하는 것인, SiN 및 P-Si 필름을 함유하는 패턴화된 웨이퍼를 연마하는 데 사용하기에 여전히 매우 매력적이다.
실시예 3: 높은 SiO 2 / SiN 선택성의 양이온성 실리카 연마재:
이 실시예는 높은 SiO2 제거율 및 낮은 SiN 제거율을 나타내는 CMP 슬러리 조성물에서의 양이온성 실리카(양으로 하전된 실리카)의 용도를 입증한다.
이 실시예에서, 연마 조성물은 1 중량%의 양이온성 실리카 연마재, pH 조절제로서의 산 및 염기, 및 액상 담체로서의 물을 포함하였다. 양이온성 실리카 연마재는 일본 푸소 케미칼 컴퍼니, 리미티드로부터 구입하였다. ~2-7의 pH 범위에서 ~0.5 pH 값의 pH 중간값에서 슬러리를 수득하기 위해, 양이온성 연마재를 함유하는 CMP 슬러리는 pH 조절제로 pH를 조절하였다. 모든 시험된 제형에 대하여, 어플라이드 매터리얼즈 미라 CMP 연마기를 2 psi의 하향력 및 175 mL/min의 유량으로 사용하여 8인치의 질화규소 및 산화규소 블랭킷 웨이퍼를 연마하였다. SiO2 및 SiN 필름에 대한 제거율로서 나타낸 연마 결과를 표 5에 요약하였다.
[표 5]
SiO2 및 SiN 필름에 대한 양이온성 연마재 함유 슬러리의 RR 및 선택성. 데이터의 도식적 표현은 도 5에 나타나 있다.
Figure pat00006
양이온성 실리카의 제타 전위(ZP)는 어쿠스토사이저 II(제조원: Colloidal Dynamics)를 사용하여 측정하였고, SiN 필름 및 SiO2 필름의 ZP는 SurPASS 3(제조원: Anton Paar)에 의하여 측정하였다. 결과를 표 6에 요약하였다. 표 6은 또한 SiO2 필름과 양이온성 실리카 사이 및 SiN 필름과 양이온성 실리카 사이의 전하 분리(ZP 차의 절대값)를 상세히 설명한다.
[표 6]
양이온성 실리카, TEOS 및 SiN 필름의 제타 전위 및 전하 분리 값(mV). 데이터의 도식적 표현은 도 2에 나타나 있다.
Figure pat00007
표 6에 나타낸 바와 같이, CMP 조성물의 pH 값이 2 내지 7인 경우, SiO2 필름은 음으로 하전되는 반면, 양이온성 실리카는 양으로 하전된다. 그 결과, SiO2 필름과 양이온성 실리카 사이에 인력이 존재하고, 표 5 및 도 5에서 알 수 있는 바와 같이, 이는 SiO2 필름에 대한 높은 RR을 나타낸다고 여겨진다. 반대로, SiN 필름 및 양이온성 실리카는 둘 다 양으로 하전된다(즉, 이들의 ZP는 양성임). 그 결과, SiN 필름과 양이온성 실리카 사이에 척력이 존재하고, 이는 표 5 및 도 5에서 알 수 있는 바와 같이, SiN 필름에 대한 낮은 RR을 나타낸다고 여겨진다. 확실히, 표 5에 나타낸 바와 같이, 양이온성 실리카 연마재를 함유하는 CMP 조성물은 높은 SiO2 제거율, 낮은 SiN 제거율 및 상대적으로 높은 SiO2/SiN 선택성(즉, SiO2를 SiN보다 우선적으로 제거)을 나타내었다.
특히, 표 6은 CMP 조성물의 pH가 4 내지 5인 경우, 전하 분리가 SiO2 필름과 양이온성 실리카 사이에서 가장 큼을 보여준다. 그 결과, 이러한 pH 범위에서, SiO2 RR이 가장 높다는 것(962 A/min 내지 1015 A/min; 표 5 참조)은, 더 큰 전하 분리로 인하여, 음으로 하전된 SiO2 필름과 양으로 하전된 양이온성 실리카 사이에 더 강한 인력이 존재하여, SiO2 필름에 대한 더 높은 RR을 유도함을 나타낸다. 반대로, 표 6은 pH가 2, 3 및 4인 경우, 전하 분리는 SiN 필름과 양이온성 실리카(이들 둘 다 양으로 하전됨) 사이에서 가장 적음을 보여준다. 이러한 pH 범위에서, SiN 필름 및 양이온성 실리카는 서로 최대로 밀어내어, 가장 낮은 SiN 필름 RR(즉, 각각 97 A/min, 85 A/min 및 98 A/min; 표 5 참조)을 제공한다. 추가로, pH 5 및 6에서의 SiN 필름과 양이온성 실리카 사이의 상대적으로 더 큰 전하 분리에서(각각 10 mV 및 6 mV의 전하 분리; 표 6 참조), SiN 필름 및 양이온성 실리카는 덜 밀어내고, SiN RR은 상대적으로 높다(즉, 각각 261 A/min 및 244 A/min). 이는 하전된 연마재 함유 CMP 조성물을 사용하여 목적하는 RR 및 선택성을 달성하는 디자인과 일치한다.
실시예 3에서 논의된 CMP 슬러리 조성물은 패턴화된 웨이퍼 상에서, SiO2와 SiN 필름 사이의 높은 선택성 비가 필요한 집적에 사용될 수 있다. 산업계에서, 이는 통상적으로 산화규소 필름(절연체)이 전도성 금속 와이어(예: 구리, 텅스텐 또는 기타 금속/금속 산화물)를 분리시키는 쉘로우 트렌치 분리(STI) 공정이라고 하고, CMP 공정의 목적은 패턴화된 웨이퍼 상에서 SiO2 필름을 제거하고 SiN 필름의 연마를 중단하는 것이다. CMP 공정 동안 SiN 필름보다 SiO2 필름의 높은 선택성 CMP 조성물이 필요한 다수의 다른 FEOL 및/또는 BEOL 집적 스킴이 존재하며, 양이온성 연마재 함유 CMP 조성물은 패턴화된 웨이퍼 상의 불균질 물질을 연마하는 이러한 스킴에 사용될 수 있다.
본 발명은 하나 이상의 예시적 구현예들을 참조로 하여 설명되었으나, 당업자는 본 발명의 범위를 벗어나지 않고 다양한 변경이 가해질 수 있고, 동등물이 그 구성 요소로 대체될 수 있음을 이해할 것이다. 본원에 언급된 바람직한 구현예의 변형은 전술한 설명을 읽음으로써 당업자에게 명확해질 수 있다. 또한, 본 발명의 범위를 벗어나지 않으면서 특정 상황 또는 물질을 본 발명의 교시에 맞도록 많은 변경이 가해질 수 있다. 따라서, 본 발명은 고려된 최선의 방식으로 개시된 특정 구현예(들)에 한정되지 않지만, 본 발명은 첨부된 청구의 범위의 범주 내에 있는 모든 구현예를 포함하는 것으로 의도된다. 추가로, 본 발명자는 당해 분야의 숙련된 기술자가 본원에 구체적으로 기술된 것 이외의 다른 형태로 본 발명을 실시하기에 적절한 변형을 채용할 것으로 기대한다. 이는 해당 법률에서 허용되는 바에 따라 본원에 첨부된 청구 범위에 기재된 대상의 동등물 및 모든 변경을 포함한다.

Claims (44)

  1. a) 알루미나, 실리카, 티타니아, 지르코니아, 이의 공동 형성된 생성물, 또는 이의 혼합물을 포함하는 양이온성 연마재;
    b) 산 또는 염기; 및
    c) 물
    을 포함하는 연마 조성물로서,
    여기에서 연마 조성물은 약 2 내지 약 7의 pH를 가지며, 할라이드 염을 실질적으로 포함하지 않는 연마 조성물.
  2. 제1항에 있어서,
    산화규소의 제1 제거율, 질화규소의 제2 제거율을 가지며, 제1 제거율 대 제2 제거율의 비가 적어도 약 2:1인 연마 조성물.
  3. 제1항에 있어서,
    폴리실리콘의 제1 제거율, 질화규소의 제2 제거율을 가지며, 제1 제거율 대 제2 제거율의 비가 적어도 약 2:1인 연마 조성물.
  4. 제1항에 있어서,
    양이온성 연마재가 콜로이드성 알루미나, 콜로이드성 실리카 또는 콜로이드성 티타니아를 포함하는 연마 조성물.
  5. 제1항에 있어서,
    양이온성 연마재가 양이온성 콜로이드성 실리카 또는 염기 고정(base immobilized) 비이온성 실리카를 포함하는 연마 조성물.
  6. 제5항에 있어서,
    실리카가 테트라메틸 오르토실리케이트(tetramethyl orthosilicate)로부터의 졸-겔 반응에 의하여 제조되는 연마 조성물.
  7. 제1항에 있어서,
    양이온성 연마재가 화학식 (I)의 말단 그룹을 포함하며:
    -Om-X-(CH2)n-Y (I)
    여기에서,
    m은 1 내지 3의 정수이고;
    n은 1 내지 10의 정수이고;
    X는 Al, Si, Ti 또는 Zr이고, 및
    Y는 양이온성 아미노 또는 티올 그룹인 연마 조성물.
  8. 제1항에 있어서,
    양이온성 연마재가 조성물의 총 중량을 기준으로 하여, 약 0.01 중량% 내지 약 50 중량%의 양으로 조성물에 존재하는 연마 조성물.
  9. 제1항에 있어서,
    산은 포름산, 아세트산, 말론산, 시트르산, 프로피온산, 말산, 아디프산, 숙신산, 락트산, 옥살산, 하이드록시에틸리덴 디포스폰산, 2-포스포노-1,2,4-부탄 트리카복실산, 아미노트리메틸렌 포스폰산, 헥사메틸렌디아민 테트라(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 아미노아세트산, 과산화아세트산, 아세트산칼륨, 페녹시아세트산, 글리신, 비신, 디글리콜산, 글리세린산, 트리신, 알라닌, 히스티딘, 발린, 페닐알라닌, 프롤린, 글루타민, 아스파르트산, 글루탐산, 아르기닌, 리신, 티로신, 벤조산, 질산, 황산, 아황산, 인산, 포스폰산, 염산, 과요오드산 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것인 연마 조성물.
  10. 제1항에 있어서,
    염기는 수산화칼륨, 수산화나트륨, 수산화세슘, 수산화암모늄, 트리에탄올아민, 디에탄올아민, 모노에탄올아민, 테트라부틸암모늄 하이드록사이드, 테트라메틸암모늄 하이드록사이드, 수산화리튬, 이미다졸, 트리아졸, 아미노트리아졸, 테트라졸, 벤조트리아졸, 톨리트리아졸, 피라졸, 이소티아졸 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것인 연마 조성물.
  11. 제1항에 있어서,
    산 또는 염기는 조성물의 총 중량을 기준으로 하여, 약 0.0001 중량% 내지 약 30 중량%의 양으로 조성물에 존재하는 연마 조성물.
  12. 제1항에 있어서,
    양이온성 연마재가 약 1 nm 내지 약 5000 nm의 평균 입자 크기를 갖는 연마 조성물.
  13. 제1항에 있어서,
    약 0 mV 내지 약 +100 mV 범위의 제타 전위를 갖는 연마 조성물.
  14. 제1항에 있어서,
    약 0.01 mS/cm 내지 약 100 mS/cm의 전도성을 갖는 연마 조성물.
  15. a) 약 1 nm 내지 약 5000 nm의 평균 입자 크기를 가지는 세리아를 포함하는 양이온성 연마재;
    b) 산 또는 염기; 및
    c) 물
    을 포함하는 연마 조성물로서,
    여기에서 연마 조성물은 약 2 내지 약 7의 pH를 가지며, 할라이드 염을 실질적으로 포함하지 않는 연마 조성물.
  16. 기판의 표면상에 질화규소, 및 산화규소 및 폴리실리콘 중 하나 이상을 갖는 기판에 연마 조성물을 도포하는 단계; 및
    패드를 기판과 접촉시키고, 기판에 대하여 패드를 이동시키는 단계를 포함하는 방법으로서,
    여기에서 조성물은 양이온성 연마재, 산 또는 염기, 및 물을 포함하며, 조성물은 약 2 내지 약 7의 pH를 가지고,
    여기에서 방법은 산화규소 및 폴리실리콘 중 하나 이상의 적어도 일부를 제1 제거율로 제거하고, 질화규소의 적어도 일부를 제2 제거율로 제거하며, 상기 제1 제거율 대 제2 제거율의 비가 적어도 약 2:1인 방법.
  17. 제16항에 있어서,
    제1 제거율 대 제1 제거율의 비가 적어도 약 10:1인 방법.
  18. 제16항에 있어서,
    연마 조성물과 산화규소 또는 폴리실리콘 사이의 제타 전위차가 적어도 약 20 mV이고, 연마 조성물과 질화규소 사이의 제타 전위차가 최대 약 20 mV인 방법.
  19. 제16항에 있어서,
    양이온성 연마재가 세리아, 알루미나, 실리카, 티타니아, 지르코니아, 이의 공동 형성된 생성물, 또는 이의 혼합물을 포함하는 방법.
  20. 제16항에 있어서,
    기판상의 산화규소 및 폴리실리콘 중 하나 이상을 실질적으로 모두 제거하는 방법.
  21. 제20항에 있어서,
    기판상의 질화규소의 적어도 일부를 제거하는 단계를 추가로 포함하는 방법.
  22. 제16항에 있어서,
    기판은 금속, 금속 산화물, 금속 질화물 및 유전 물질로 이루어진 군으로부터 선택된 추가의 물질을 추가로 포함하는 방법.
  23. 제16항에 있어서,
    연마 조성물로 처리된 기판으로부터 반도체 장치를 제조하는 단계를 추가로 포함하는 방법.
  24. a) 음이온성 연마재;
    b) 산 또는 염기; 및
    c) 물
    을 포함하는 연마 조성물로서,
    여기에서 연마 조성물은 약 2 내지 약 7의 pH를 가지고, 및
    여기에서 연마 조성물은 질화규소의 제1 제거율 및 폴리실리콘의 제2 제거율을 나타내고, 제1 제거율 대 제2 제거율의 비가 적어도 약 2:1인 연마 조성물.
  25. 제24항에 있어서,
    제1 제거율 대 제2 제거율의 비가 적어도 약 8:1인 연마 조성물.
  26. 제24항에 있어서,
    음이온성 연마재가 세리아, 알루미나, 실리카, 티타니아, 지르코니아, 이의 공동 형성된 생성물, 또는 이의 혼합물을 포함하는 연마 조성물.
  27. 제24항에 있어서,
    음이온성 연마재가 콜로이드성 알루미나, 콜로이드성 실리카 또는 콜로이드성 티타니아를 포함하는 연마 조성물.
  28. 제24항에 있어서,
    음이온성 연마재가 음이온성 콜로이드성 실리카 또는 산 고정(acid immobilized) 비이온성 실리카를 포함하는 연마 조성물.
  29. 제24항에 있어서,
    실리카가 테트라메틸 오르토실리케이트로부터의 졸-겔 반응에 의하여 제조되는 연마 조성물.
  30. 제24항에 있어서,
    음이온성 연마재가 화학식 (I)의 말단 그룹을 포함하고:
    -Om-X-(CH2)n-Y (I)
    여기에서,
    m은 1 내지 3의 정수이고;
    n은 1 내지 10의 정수이고;
    X는 Ce, Al, Si, Ti 또는 Zr이고; 및
    Y는 산 그룹인 연마 조성물.
  31. 제24항에 있어서,
    음이온성 연마재가 조성물의 총 중량을 기준으로 하여, 약 0.01 중량% 내지 약 50 중량%의 양으로 조성물에 존재하는 연마 조성물.
  32. 제24항에 있어서,
    산은 포름산, 아세트산, 말론산, 시트르산, 프로피온산, 말산, 아디프산, 숙신산, 락트산, 옥살산, 하이드록시에틸리덴 디포스폰산, 2-포스포노-1,2,4-부탄 트리카복실산, 아미노트리메틸렌 포스폰산, 헥사메틸렌디아민 테트라(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 아미노아세트산, 과산화아세트산, 아세트산칼륨, 페녹시아세트산, 글리신, 비신, 디글리콜산, 글리세린산, 트리신, 알라닌, 히스티딘, 발린, 페닐알라닌, 프롤린, 글루타민, 아스파르트산, 글루탐산, 아르기닌, 리신, 티로신, 벤조산, 질산, 황산, 아황산, 인산, 포스폰산, 염산, 과요오드산 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것인 연마 조성물.
  33. 제24항에 있어서,
    염기는 수산화칼륨, 수산화나트륨, 수산화세슘, 수산화암모늄, 트리에탄올아민, 디에탄올아민, 모노에탄올아민, 테트라부틸암모늄 하이드록사이드, 테트라메틸암모늄 하이드록사이드, 수산화리튬, 이미다졸, 트리아졸, 아미노트리아졸, 테트라졸, 벤조트리아졸, 톨리트리아졸, 피라졸, 이소티아졸 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것인 연마 조성물.
  34. 제24항에 있어서,
    산 또는 염기가 조성물의 총 중량을 기준으로 하여, 약 0.0001 중량% 내지 약 30 중량%의 양으로 조성물에 존재하는 연마 조성물.
  35. 제24항에 있어서,
    음이온성 연마재가 약 1 nm 내지 약 5000 nm의 평균 입자 크기를 갖는 연마 조성물.
  36. 제24항에 있어서,
    약 0 mV 내지 약 -100 mV 범위의 제타 전위를 갖는 연마 조성물.
  37. 제29항에 있어서,
    약 0.01 mS/cm 내지 약 100 mS/cm 범위의 전도성을 갖는 연마 조성물.
  38. 기판의 표면상에 질화규소 및 폴리실리콘을 갖는 기판에 연마 조성물을 도포하는 단계; 및
    패드를 기판과 접촉시키고, 기판에 대하여 패드를 이동시키는 단계를 포함하는 방법으로서,
    여기에서 조성물은 음이온성 연마재, 산 또는 염기, 및 물을 포함하며, 조성물은 약 2 내지 7의 pH를 가지고,
    여기에서 방법은 질화규소의 적어도 일부를 제1 제거율로 제거하고, 폴리실리콘의 적어도 일부를 제2 제거율로 제거하고, 제1 제거율 대 제2 제거율의 비가 적어도 약 2:1인 방법.
  39. 제38항에 있어서,
    제1 제거율 대 제2 제거율의 비가 적어도 약 8:1인 방법.
  40. 제38항에 있어서,
    연마 조성물과 질화규소 사이의 제타 전위차가 적어도 약 20 mV이고, 연마 조성물과 폴리실리콘 사이의 제타 전위차가 최대 약 20 mV인 방법.
  41. 제38항에 있어서,
    기판상의 질화규소를 실질적으로 모두 제거하는 방법.
  42. 제38항에 있어서,
    기판상의 폴리실리콘의 적어도 일부를 제거하는 단계를 추가로 포함하는 방법.
  43. 제38항에 있어서,
    기판은 금속, 금속 산화물, 금속 질화물 및 유전 물질로 이루어진 군으로부터 선택된 추가의 물질을 추가로 포함하는 방법.
  44. 제38항에 있어서,
    연마 조성물로 처리된 기판으로부터 반도체 장치를 제조하는 단계를 추가로 포함하는 방법.
KR1020180051632A 2017-10-05 2018-05-04 하전된 연마재를 함유하는 연마 조성물 KR102491600B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230007271A KR20230017331A (ko) 2017-10-05 2023-01-18 하전된 연마재를 함유하는 연마 조성물

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/725,855 2017-10-05
US15/725,855 US10428241B2 (en) 2017-10-05 2017-10-05 Polishing compositions containing charged abrasive

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230007271A Division KR20230017331A (ko) 2017-10-05 2023-01-18 하전된 연마재를 함유하는 연마 조성물

Publications (2)

Publication Number Publication Date
KR20190039635A true KR20190039635A (ko) 2019-04-15
KR102491600B1 KR102491600B1 (ko) 2023-01-20

Family

ID=63592534

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180051632A KR102491600B1 (ko) 2017-10-05 2018-05-04 하전된 연마재를 함유하는 연마 조성물
KR1020230007271A KR20230017331A (ko) 2017-10-05 2023-01-18 하전된 연마재를 함유하는 연마 조성물

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230007271A KR20230017331A (ko) 2017-10-05 2023-01-18 하전된 연마재를 함유하는 연마 조성물

Country Status (8)

Country Link
US (5) US10428241B2 (ko)
EP (1) EP3476909B1 (ko)
JP (2) JP7074635B2 (ko)
KR (2) KR102491600B1 (ko)
CN (1) CN109609035B (ko)
SG (1) SG10201805871TA (ko)
TW (1) TWI812611B (ko)
WO (1) WO2019070470A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022260433A1 (ko) * 2021-06-08 2022-12-15 주식회사 동진쎄미켐 유기막 연마 조성물 및 이를 이용한 연마 방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10428241B2 (en) * 2017-10-05 2019-10-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions containing charged abrasive
KR20200061186A (ko) * 2018-11-23 2020-06-02 솔브레인 주식회사 연마용 조성물 및 이를 이용하는 연마 방법
US10988635B2 (en) * 2018-12-04 2021-04-27 Cmc Materials, Inc. Composition and method for copper barrier CMP
KR20200076991A (ko) * 2018-12-20 2020-06-30 주식회사 케이씨텍 Sti 공정용 연마 슬러리 조성물
KR102279324B1 (ko) * 2018-12-21 2021-07-21 주식회사 케이씨텍 연마 슬러리 조성물
JPWO2021005980A1 (ko) * 2019-07-05 2021-01-14
CN114686115A (zh) * 2020-12-30 2022-07-01 安集微电子科技(上海)股份有限公司 一种化学机械抛光液及其使用方法
JP2024508243A (ja) * 2021-02-04 2024-02-26 シーエムシー マテリアルズ リミティド ライアビリティ カンパニー 炭窒化ケイ素研磨組成物及び方法
WO2023076114A1 (en) * 2021-10-28 2023-05-04 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of use thereof
CN116368263A (zh) * 2021-10-28 2023-06-30 富士胶片电子材料美国有限公司 抛光组合物及其使用方法
WO2023171290A1 (ja) * 2022-03-08 2023-09-14 株式会社フジミインコーポレーテッド 研磨用組成物
CN117637460A (zh) * 2022-08-10 2024-03-01 长鑫存储技术有限公司 一种半导体结构及其制备方法
WO2024102266A1 (en) * 2022-11-09 2024-05-16 Entegris, Inc. Positively charged abrasive with negatively charged ionic oxidizer for polishing application

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011216582A (ja) * 2010-03-31 2011-10-27 Fujifilm Corp 研磨方法、および研磨液
KR20120006563A (ko) * 2003-02-03 2012-01-18 캐보트 마이크로일렉트로닉스 코포레이션 규소-함유 유전체의 연마 방법
KR20160057397A (ko) * 2013-09-20 2016-05-23 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물
KR20170021320A (ko) * 2014-06-25 2017-02-27 캐보트 마이크로일렉트로닉스 코포레이션 콜로이드성 실리카 화학적-기계적 연마 조성물

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
JP4577755B2 (ja) 2003-12-02 2010-11-10 扶桑化学工業株式会社 変性コロイダルシリカの製造方法
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US8196697B2 (en) * 2004-11-10 2012-06-12 Yoram Fruehling Driving Systems, Ltd. Driving accessory for handicapped drivers
US20100001229A1 (en) 2007-02-27 2010-01-07 Hitachi Chemical Co., Ltd. Cmp slurry for silicon film
US20090008187A1 (en) * 2007-07-02 2009-01-08 Garelick Richard J Attachment and storage system for an extendible ladder
KR101396853B1 (ko) 2007-07-06 2014-05-20 삼성전자주식회사 실리콘 질화물 연마용 슬러리 조성물, 이를 이용한 실리콘질화막의 연마 방법 및 반도체 장치의 제조 방법
WO2009042072A2 (en) * 2007-09-21 2009-04-02 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
KR101232442B1 (ko) * 2007-09-21 2013-02-12 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
WO2009056491A1 (en) 2007-10-29 2009-05-07 Basf Se Cmp slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer
JP2009206148A (ja) 2008-02-26 2009-09-10 Fujimi Inc 研磨用組成物
JP2010269985A (ja) 2009-05-22 2010-12-02 Fuso Chemical Co Ltd スルホン酸修飾水性アニオンシリカゾル及びその製造方法
US8197782B2 (en) * 2010-02-08 2012-06-12 Momentive Performance Materials Method for making high purity metal oxide particles and materials made thereof
US8961815B2 (en) * 2010-07-01 2015-02-24 Planar Solutions, Llc Composition for advanced node front-and back-end of line chemical mechanical polishing
JP5695367B2 (ja) 2010-08-23 2015-04-01 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
MY170196A (en) 2010-09-08 2019-07-09 Basf Se Aqueous polishing composition and process for chemically mechanically polishing substrates for electrical, mechanical and optical devices
US8808573B2 (en) 2011-04-15 2014-08-19 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
US9318412B2 (en) * 2013-07-26 2016-04-19 Nanya Technology Corporation Method for semiconductor self-aligned patterning
US9284472B2 (en) 2013-08-09 2016-03-15 Fujimi Incorporated SiCN and SiN polishing slurries and polishing methods using the same
JP6526626B2 (ja) 2014-03-20 2019-06-05 株式会社フジミインコーポレーテッド 研磨用組成物、研磨方法および基板の製造方法
US9583359B2 (en) 2014-04-04 2017-02-28 Fujifilm Planar Solutions, LLC Polishing compositions and methods for selectively polishing silicon nitride over silicon oxide films
US9735030B2 (en) 2014-09-05 2017-08-15 Fujifilm Planar Solutions, LLC Polishing compositions and methods for polishing cobalt films
JP2017197590A (ja) 2014-09-08 2017-11-02 ニッタ・ハース株式会社 研磨用組成物
JP6649279B2 (ja) * 2015-01-19 2020-02-19 株式会社フジミインコーポレーテッド 変性コロイダルシリカおよびその製造方法、並びにこれを用いた研磨剤
TWI763621B (zh) * 2015-01-19 2022-05-11 日商福吉米股份有限公司 研磨用組成物之製造方法
US9803109B2 (en) * 2015-02-03 2017-10-31 Cabot Microelectronics Corporation CMP composition for silicon nitride removal
EP3408342B1 (en) 2016-01-25 2024-03-06 CMC Materials LLC Polishing composition comprising cationic polymer additive
US10428241B2 (en) 2017-10-05 2019-10-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions containing charged abrasive

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120006563A (ko) * 2003-02-03 2012-01-18 캐보트 마이크로일렉트로닉스 코포레이션 규소-함유 유전체의 연마 방법
JP2011216582A (ja) * 2010-03-31 2011-10-27 Fujifilm Corp 研磨方法、および研磨液
KR20160057397A (ko) * 2013-09-20 2016-05-23 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물
KR20170021320A (ko) * 2014-06-25 2017-02-27 캐보트 마이크로일렉트로닉스 코포레이션 콜로이드성 실리카 화학적-기계적 연마 조성물
JP2017524770A (ja) * 2014-06-25 2017-08-31 キャボット マイクロエレクトロニクス コーポレイション 化学機械研磨組成物の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022260433A1 (ko) * 2021-06-08 2022-12-15 주식회사 동진쎄미켐 유기막 연마 조성물 및 이를 이용한 연마 방법

Also Published As

Publication number Publication date
EP3476909B1 (en) 2023-03-22
JP7074635B2 (ja) 2022-05-24
TW201915120A (zh) 2019-04-16
KR102491600B1 (ko) 2023-01-20
JP2022106995A (ja) 2022-07-20
CN109609035A (zh) 2019-04-12
US20190106596A1 (en) 2019-04-11
US10808145B2 (en) 2020-10-20
WO2019070470A1 (en) 2019-04-11
EP3476909A2 (en) 2019-05-01
US20190359857A1 (en) 2019-11-28
US11034861B2 (en) 2021-06-15
US11674056B2 (en) 2023-06-13
US10428241B2 (en) 2019-10-01
US20220169892A1 (en) 2022-06-02
EP3476909A3 (en) 2019-08-21
SG10201805871TA (en) 2019-05-30
CN109609035B (zh) 2022-11-29
JP2019071413A (ja) 2019-05-09
US20190359858A1 (en) 2019-11-28
KR20230017331A (ko) 2023-02-03
TWI812611B (zh) 2023-08-21
US20210348029A1 (en) 2021-11-11

Similar Documents

Publication Publication Date Title
US11674056B2 (en) Polishing compositions containing charged abrasive
JP7370984B2 (ja) 改善されたトポグラフィーを有するタングステンバフ研磨組成物
TWI611009B (zh) 用於相對氧化矽薄膜選擇性拋光氮化矽薄膜的拋光組成物及方法
US20030143848A1 (en) Chemical mechanical polishing slurry and method for using same
KR102303865B1 (ko) 연마 조성물 및 이를 사용하는 방법
US8865013B2 (en) Method for chemical mechanical polishing tungsten
JP2013243208A (ja) 研磨用組成物並びにそれを用いた研磨方法及び基板の製造方法
KR102639156B1 (ko) 연마 조성물 및 이를 사용하는 방법
TWI506105B (zh) 經安定之可濃縮化學機械研磨組成物及研磨基板之方法
US20080116171A1 (en) Method For The Preferential Polishing Of Silicon Nitride Versus Silicon Oxide
KR20220061878A (ko) 연마제 조성물 및 이의 사용 방법
JP2022553105A (ja) 高い酸化物除去速度を有するシャロートレンチアイソレーション化学的機械平坦化組成物
US20220195245A1 (en) Selective Chemical Mechanical Planarization Polishing
KR20220113497A (ko) 산화물 트렌치 디싱이 낮은 얕은 트렌치 절연 화학 기계적 평탄화 연마
TW202219208A (zh) 經表面修飾之氧化矽粒子及包含此粒子之組合物
JP2009065001A (ja) 化学機械研磨用水系分散体、該分散体を調製するためのキット、および化学機械研磨用水系分散体の調製方法

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant