KR102639156B1 - 연마 조성물 및 이를 사용하는 방법 - Google Patents

연마 조성물 및 이를 사용하는 방법 Download PDF

Info

Publication number
KR102639156B1
KR102639156B1 KR1020230017155A KR20230017155A KR102639156B1 KR 102639156 B1 KR102639156 B1 KR 102639156B1 KR 1020230017155 A KR1020230017155 A KR 1020230017155A KR 20230017155 A KR20230017155 A KR 20230017155A KR 102639156 B1 KR102639156 B1 KR 102639156B1
Authority
KR
South Korea
Prior art keywords
acid
group
polishing composition
removal rate
polishing
Prior art date
Application number
KR1020230017155A
Other languages
English (en)
Other versions
KR20230022939A (ko
Inventor
에릭 터너
압후다야 미쉬라
카를 바예스테로스
Original Assignee
후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. filed Critical 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨.
Publication of KR20230022939A publication Critical patent/KR20230022939A/ko
Priority to KR1020240022574A priority Critical patent/KR20240025577A/ko
Application granted granted Critical
Publication of KR102639156B1 publication Critical patent/KR102639156B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Composite Materials (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

본 발명은 적어도 하나의 연마제; 적어도 하나의 질화물 제거율 감소제, 산 또는 염기; 및 물을 포함하는 연마 조성물에 관한 것이다. 적어도 하나의 질화물 제거율 감소제는 C12 내지 C40 탄화수소기를 함유하는 소수성 부분; 및 설피나이트기(sulfinite group), 설페이트기, 설포네이트기, 카르복실레이트기, 포스페이트기 및 포스포네이트기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 함유하는 친수성 부분을 포함할 수 있고, 여기에서 소수성 부분과 친수성 부분은 0개 내지 10개의 알킬렌 산화물 기에 의해 분리된다. 연마 조성물은 약 2 내지 약 6.5의 pH를 가질 수 있다.

Description

연마 조성물 및 이를 사용하는 방법{POLISHING COMPOSITIONS AND METHODS OF USING SAME}
본 출원은 2018년 12월 19일자로 출원된 미국 가출원 일련번호 제62/781,648호에 대한 우선권을 주장하는, 2019년 3월 18일자로 출원된 미국 실용 특허출원 일련번호 제16/356,669호에 대한 우선권을 주장하며, 그 내용은 전체가 본원에 참조로 인용되어 있다.
반도체 산업은 공정 및 직접 혁신에 의한 장치의 추가 소형화로 인해 계속해서 칩 성능을 향상시키고 있다. 화학 기계적 연마/평탄화(Chemical Mechanical Polyshing/Planarization, CMP)는 트랜지스터 수준에서 다양하고 복잡한 집적 스킴(integration scheme)을 가능하게 하여 칩 밀도를 증가시키므로 강력한 기술이다.
트랜지스터는 일반적으로 전공정(Front End of Line, FEOL) 트랜지스터 제작 단계에서 제조된다. FEOL 물질 스택(stack)은 전형으로 메탈 게이트(metal gate) 및 다수의 유전체(dielectric material)의 스택을 포함한다. 각 집적 회로에서 수십억 개의 활성 소자(active component)의 전기적인 소자분리(electrical isolation)가 FEOL의 목표이며, 쉘로우 트렌치 소자분리(shallow trench isolation, STI) 공정을 사용하여 이루어질 수 있다. 설명 목적으로 STI 공정의 일부가 도 1에 나타나 있다. 도 1에서 알 수 있는 바와 같이, STI CMP 공정 전에, 열적 산화규소와 SiN이 실리콘(예를 들어, 실리콘 웨이퍼)의 상단에 증착(도 1의 1(a))된 다음, 에칭(etching)되어 트렌치/소자분리 및 "활성(active)" 비-트렌치 영역(트랜지스터-함유 영역을 형성하기 위한 것임)(도 1의 1(b))을 생성할 수 있다. 그 후, 이들 트렌치/소자분리 영역은 활성 비-트렌치 영역이 트렌치 내의 산화규소에 의해서 소자분리될 수 있도록(도 1의 1(c)) 트렌치 내에 산화규소(예를 들어, TEOS)를 (예를 들어, 플라스마 강화 화학 증기 증착(plasma-enhanced chemical vapor deposition, PECVD)을 사용하여) 증착시킴으로써 충전될 수 있다. 그 후, 활성 비-트렌치 영역 위의 "과도한(overburden)/여분의(extra)" 산화규소는 쉘로우 트렌치에 산화규소는 유지시키면서(도 1의 1(d)) 선택적으로 제거될 수 있다. 산화규소의 선택적인 제거는 쉘로우 트렌치 소자분리(STI) 화학 기계적 연마/평탄화(CMP) 공정에 의해 이루어지고, 이 공정에서 질화규소(예를 들어, SiN)에 대한 산화규소의 높은 재료 제거율(material removal rate, MRR) 선택성(selectivity)을 갖는 (본 발명에 기술된 것과 같은) CMP 슬러리 조성물은 바람직하게는 질화규소(정지 층(stop-on layer))는 실질적으로 제거하지 않으면서 높은 비율로 산화규소를 제거하는데 사용된다. 상기 STI CMP 단계 후에, 에칭을 사용하여 규소를 노출시켜 소자분리를 완료하고, 활성 비-트렌치 영역에 형성된 인접한 트랜지스터가 서로 접촉하지 않도록 하여 전기 회로의 단락(shorting)을 방지할 수 있다.
STI에서 일반적으로 사용되는 유전체 필름(dielectric film)은 질화규소(예를 들어, SiN), 산화규소(예를 들어, TEOS: 테트라-에틸 오르쏘-실리케이트(tetra-ethyl ortho-silicate)), 폴리-실리콘(poly-silicon, P-Si), 질화탄소규소(silicon carbon nitride )(예를 들어, SiCN) 및 저-k(low-k)/초저-k(ultra-low-k) 유전체 필름(예를 들어, SiCOH)이다. 45 nm 칩 생산에서의 고-k 메탈 게이트 기술 및 22 nm 칩 생산에서의 FinFET 기술의 도입으로, SiN, TEOS, SiCN 및 P-Si 필름이 FEOL에서 더 많이 그리고 훨씬 더 많은 응용 분야에서 사용되기 시작하였다. 또한, 후공정(Back End of Line, BEOL)에서, 기존의 배리어(barrier) 물질(Ta/TaN; Ti/TiN)의 비저항(resistivity)은 진보된 10 nm 이하의 제조 노드(manufacturing node)에 대해 규모가 효과적으로 축소되지 않는 것으로 나타났기 때문에, 이러한 배리어 물질은 다양한 BEOL 재료 스택에 대해서 SiN, TEOS, SiCN, 및 P-Si와 같은 유전체로 대체될 수 있다. 따라서, FEOL과 BEOL 모두에 대하여, 이들 유전체 필름은 에칭 저지층(etch stop layer), 캡핑 물질(capping material), 스페이서 물질, 추가 라이너, 확산(diffusion)/패시베이션(passivation) 배리어, 하드 마스크 및/또는 정지 층으로서 사용될 수 있다.
일반적으로, 유전체 필름은 첨단 반도체 제조에서 훨씬 더 많이 사용되고 있다. CMP의 관점에서, 유전체를 통합하는 이들 집적화의 대부분은 SiN을 제거할 수 있지만 TEOS/P-Si는 제거(정지)할 수 없는 슬러리, 또는 TEOS/p-Si를 제거할 수 있지만 SiN은 제거(정지)할 수 없는 슬러리와 같은, 이들 필름상에서 작용/연마 및/또는 정지할 수 있는 연마 조성물(슬러리)을 필요로 한다.
본 발명은 질화규소 및 SiCN(질화탄소규소)과 같은 관련 규소 및 질소계 필름상에서 매우 낮은 연마/제거율을 달성하면서, 다양한 재료(예를 들어, 산화규소와 같은 산화물)를 선택적으로 연마할 수 있는 안정한 수성 슬러리에 관한 것이다. 예를 들어, 연마 조성물은 비교적 높은 재료 제거율(MRR)로 산화규소(예를 들어, SiO2)를 연마할 수 있고, 매우 낮은 비율로 질화규소(예를 들어, SiN) 또는 관련 필름을 정지시키거나 연마할 수 있다. 예를 들어, 본원에 기술된 연마 조성물에 의해 제거될 수 있는 산화규소는 TEOS, 열적 산화물(thermal oxide, TOX)(예를 들어, 베어 규소(bare silicon)의 오토클레이브 유도성 산화에 의해 야기됨), 플라스마 강화 PVD 증착(예를 들어, 고밀도 플라스마 또는 고 종횡비(aspect ratio) 플라스마)에 의해 형성된 산화규소, 후 플라스마 표면 경화(post plasma surface cure)를 이용한 CVD 증착에 의해 형성된 산화규소, 탄소 도핑된 산화규소(SiOC) 및 산화물 전구체(oxide precursor)의 액상 도포에 이은 빛 또는 열 유도성 경화에 의해 형성된 산화규소로부터 선택되는 산화규소를 포함한다. 일부 예에서, 높은 MRR로 제거될 대상(target) 필름은 산화규소 유전체보다는 금속 또는 금속 산화물 또는 금속 질화물일 수 있다. 금속, 금속 산화물 및 금속 질화물의 일반적인 예는 금속에 대해서는 구리, 코발트, 루테늄, 알루미늄, 티타늄, 텅스텐 및 탄탈럼; 금속 산화물에 대해서는 하프늄 산화물, 티타늄 산화물, 알루미늄 산화물, 지르코늄 산화물 및 탄탈럼 산화물; 및 루테늄, 알루미늄, 티타늄, 텅스텐 및 탄탈럼의 질화물을 포함한다. 이와 같은 경우에, 정지(stop-on)/저 제거율 필름은 여전히 질화규소 필름일 수 있으므로, 본 발명으로부터의 질화물 제거율 감소제(removal rate reducing agent)를 함유하는 연마 조성물을 사용하여 원하는 선택성을 달성할 수 있다.
보다 구체적으로, 본 발명은 연마제(abrasive), 질화물 제거율 감소제, 산 또는 염기, 물, 및 선택적으로, 디싱 감소제(예를 들어, 음이온성 디싱제(anionic dishing agent))를 포함하는 연마 조성물에 관한 것이다. 본원에 기술된 연마 조성물의 pH는 2 내지 6.5의 범위, 보다 구체적으로는 2 내지 4.5의 범위일 수 있다. 본 발명의 조성물은 또한 성능의 임의의 저하(deterioration) 없이 연마 조성물을 형성하기 위해 (예를 들어, 사용 시점에서) 희석될 수 있다. 본 발명은 또한 전술한 연마 조성물을 사용하여 반도체 기판을 연마하는 방법을 논의한다.
일 양상에서, 본원에서 개시된 구현예는 적어도 하나의 연마제, 적어도 하나의 질화물 제거율 감소제, 산 또는 염기 및 물을 포함하는 연마 조성물에 관한 것이다. 질화물 제거율 감소제는 C12 내지 C40 탄화수소기를 함유하는 소수성 부분; 및 설피나이트기(sulfinite group), 설페이트기, 설포네이트기, 카르복실레이트기, 포스페이트기 및 포스포네이트기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 함유하는 친수성 부분을 포함하고, 여기에서 소수성 부분과 친수성 부분은 0개 내지 10개의 알킬렌 산화물 기로 분리된다. 연마 조성물은 약 2 내지 약 6.5의 pH를 갖는다.
다른 양상에서, 본원에 개시된 구현예는 적어도 하나의 연마제; 소수성 부분과 친수성 부분을 포함하는 적어도 하나의 질화물 제거율 감소제; 산 또는 염기; 및 물을 포함하는 연마 조성물에 관한 것이고; 여기에서 연마 조성물은 약 2 내지 약 6.5의 pH를 갖고; 연마 조성물은 적어도 질화규소 패턴을 포함하는 패턴화된 웨이퍼를 연마하는 동안 적어도 약 3:1의 질화규소에 대한 제거율에 대한 산화규소에 대한 제거율의 비를 가지며, 질화규소 패턴은 적어도 산화규소(및 선택적으로 금속 또는 유전체와 같은 다른 재료)로 오버레이된다.
또 다른 양상에서, 본원에 개시된 구현예는 적어도 하나의 연마제; 소수성 부분과 친수성 부분을 포함하는 적어도 하나의 질화물 제거율 감소제; 산 또는 염기; 및 물을 포함하는 연마 조성물에 관한 것이고; 여기에서 연마 조성물은 약 2 내지 약 6.5의 pH를 갖고; 여기에서 연마 조성물을 사용하여 적어도 산화규소로 오버레이 된 적어도 질화규소 패턴을 포함하는 패턴화된 웨이퍼 연마시 약 1000 옹스트롬(angstrom) 미만의 산화규소 디싱이 발생하고, 연마는 패턴화된 웨이퍼 상에 질화규소 패턴을 노출시킨다.
또 다른 양상에서, 본원에 개시된 구현예는 적어도 하나의 연마제; 소수성 부분과 친수성 부분을 포함하는 적어도 하나의 질화물 제거율 감소제; 산 또는 염기; 및 물을 포함하는 연마 조성물에 관한 것이고; 여기에서 연마 조성물은 약 2 내지 약 6.5의 pH를 갖고; 여기에서 연마 조성물을 사용하여 적어도 산화규소로 오버레이된 적어도 질화규소 패턴을 포함하는 패턴화된 웨이퍼 연마시 약 500 옹스트롬 미만의 질화규소 부식(erosion)이 발생하고, 연마는 패턴화된 웨이퍼 상에 질화규소 패턴을 노출시킨다.
또 다른 양상에서, 본원에 개시된 구현예는 기판의 표면상에 적어도 질화규소 및 적어도 산화규소를 갖는 기판에 본원에 기술된 연마 조성물을 도포하는 단계; 및 패드를 기판의 표면과 접촉시키고 기판에 대해 패드를 이동시키는 단계를 포함하는 방법에 관한 것이다.
동일한 조성물에서 연마제, 질화물 RR 감소제, 및 선택적인 디싱 감소제의 상승적(synergistic) 사용은 현재 이용 가능한 슬러리에서는 발견되지 않는 독특한 이점을 제공한다. 그 중에서도, 이들 이점은 다음을 포함한다:
1. 본원에 기술된 조성물은 매우 낮은 질화규소(예를 들어, SiN) 제거율을 달성할 수 있다. 우수한 질화규소 보호는 질화규소 제거율 감소제의 현명한 선택과 제형화(formulation)/로딩(loading)을 통해 이루어질 수 있다. 또한, 낮은 질화규소 제거율은 본 발명에서 입증된 바와 같이, 블랭킷 웨이퍼(blanket wafer)(즉, 질화규소 필름만을 함유하는 웨이퍼)와 패턴화된 웨이퍼(즉, 질화규소 필름 및 패턴으로 에칭된 다른 필름, 예를 들어 TEOS를 함유하는 웨이퍼) 양쪽 모두에서 관찰된다.
2. 매우 낮은 질화규소 제거율은 패턴화된 웨이퍼 상에서 최소의 질화규소 손실을 얻어 매우 낮은 질화규소 연마 후 부식을 가능하게 한다.
3. 조성물은 낮은 산화규소 디싱/단차(step height)를 달성할 수 있다. 디싱 성능은 디싱 감소제의 현명한 선택과 로딩/농도로 조정될 수 있다.
4. 조성물은 다양한 연마제와 상용성이 있다. 입자 수정을 통해, 연마제의 제타 전위(zeta potential)는 대상 필름의 제거율을 추가로 조절하도록 조정될 수 있다. 음이온성, 양이온성 및 중성 연마제는 모두 보다 높은 산화규소 제거율 및 비교적 낮은 질화규소 제거율을 갖는 안정한 슬러리를 형성할 수 있다.
5. 조성물은 연마제로서 고순도 콜로이드성 실리카를 갖는 안정한 슬러리를 형성할 수 있다. 이는 통상적으로 사용되는 세리아(ceria) 연마제(일반적으로 연마된 웨이퍼 상에 많은 양의 결함을 생성함)로 연마된 웨이퍼와 비교할 때, 미량의 금속 계수(trace metal count)와 낮은 대형 입자 계수(large particle count)를 갖는 슬러리의 생성을 가능하게 하여, 연마된 웨이퍼 상에서 결함을 감소시킨다. 또한, 본원에 기술된 조성물은 높은 질화규소 제거율 및 산화규소와 질화규소 간의 낮은 제거 선택성과 같은 기존의 실리카계 STI CMP 조성물의 특정 단점을 극복할 수 있다.
6. 조성물은 다양한 연마 조건에 걸쳐 낮은 질화물 제거율을 생성한다. 예를 들어, 질화규소 제거율은 경질 연마 패드(polish pads)(예를 들어, 폴리우레탄계 패드)와 연질 연마 패드(예를 들어, 다공성 및 저 쇼어(shore) D 경도 값 패드) 양쪽 모두에서 낮게 유지된다. 또한, 다운포스(downforce) 및 속도는 질화규소 제거율에 상당한 영향을 미치지 않는 것으로 관찰되었으며, 이는 정지(stop-on) 필름 거동이 비-프레스토니안(non-prestonian)이기 때문에 갖는 양호한 CMP 속성이다. 본 발명의 조성물이 압력 및 속도의 함수로서 제거율에서 거의 변화를 나타내지 않는다는 사실은 패턴화된 웨이퍼 연마 후에 매우 양호한 토포그래피(topography) 및 높은 수율을 가져온다. 당해 분야의 언어에서, 본 발명의 조성물은 낮은 값의 질화규소 부식/손실과 함께 산화규소 디싱 및 단차에 대한 낮은 값을 유도한다.
본 발명에서 논의된 연마 조성물 및 농축액은 현재 세대의 집적 회로 기판에 성능의 유지를 제공하는 동시에 현재의 이용 가능한 현대의 슬러리와 대비되는 반면, 동시에 차세대 기판 및 집적 스킴에 대한 뚜렷한 이점을 나타낸다. 본 발명의 조성물은 질화규소 층을 제거하는 것보다 매우 높은 선택성으로 다양한 금속 및 유전체 층을 성공적이고 효율적으로 제거할 수 있다. 조성물은 쉘로우 트렌치 소자분리(STI) 공정, 자체 정렬(self-aligned) 접촉 공정 또는 매우 낮은 질화규소 재료 제거율이 요구되는 다른 공정에 대해서 사용될 수 있다.
도 1은 반도체 제조에서 쉘로우 트렌치 소자분리(STI) 공정(STI CMP를 포함함)에서의 공정 흐름의 개략도이다. 도 1(a)는 쉘로우 트렌치 소자분리(STI) 화학 기계적 평탄화(CMP) 전에 열적 산화규소(TOX)와 질화규소(SiN)가 규소(Si)의 상단에 증착되는 것을 도시한다. 활성 영역을 생성하기 위해 에칭이 뒤따른다. 도 1(b)는 TOX와 SiN에 의해 덮인 규소의 활성 영역을 남기고 트렌치가 생성된 것을 도시한다. 이것은 그 다음에 유전체 - 일반적으로 PE-CVD 산화규소(SiO2)로 채워진다. 도 1(c)는 활성 영역이 쉘로우 트렌치에서 실리카 유전체에 의해 분리된 것을 도시한다. STI를 완료하기 위해, SiO2는 쉘로우 트렌치 내에 SiO2를 유지하면서 활성 영역으로부터 선택적으로 제거된다. 이것은 이 발명의 주제인 STI CMP에 의해 행하여질 수 있고, 여기에서 SiO2는 높은 비율로 제거되고 SiN(정지 층)은 제거되지 않는다. 도 1(d)는 에칭이 SiN을 제거하고 규소를 노출시켜 STI를 완료하는데 사용될 수 있음을 보여준다. 규소의 활성 영역은 일단 게이트, 금속 배선(metal wiring) 및 디바이스 제조가 완료되면 트랜지스터가 될 것이다.
도 2는 연마 전의 STI 패턴화된 웨이퍼 필름 스택의 개략도이다.
도 3은 본 발명에 따른 실리카계 연마 조성물을 사용하여 STI CMP 후 전체적인 결함을 나타내는 웨이퍼 맵(wafer map)이다.
도 4는 상업용 세리아 연마제 함유 조성물을 사용하여 STI CMP 후 전체적인 결함을 나타내는 웨이퍼 맵이다.
본 발명은 연마 조성물 및 이를 사용하여 반도체 기판을 연마하는 방법에 관한 것이다. 일부 구현예에서, 이 발명은 질화규소 표면 위의 산화규소 표면을 선택적으로 연마하는 것에 관한 것이다. 질화규소 위의 산화규소를 선택적으로 연마하는 것은 반도체 제조에서 중요한 공정이고, 쉘로우 트렌치 소자분리(STI) 공정 동안 일반적으로 수행된다. 통상적으로, STI 연마 조성물(슬러리)은 실리카 연마제를 사용하는 조성물이 적절하게 수행되지 않았기 때문에(예를 들어, 높은 질화규소 제거율) STI 공정에서 요구되는 연마 성능(예를 들어, 선택성)을 달성하기 위해 세리아 연마제를 사용한다. 그러나 세리아 연마제는 이들의 "무기 경질(inorganic hard)" 성질로 인해 연마 조성물에 사용될 때 높은 비율의 결함과 스크래치를 제공하는 것으로 알려져 있다. 또한, 세리아계 연마 조성물은 실리카계 연마 조성물보다 더 짧은 저장 수명(예를 들어, 더 낮은 저장 능력, 더 낮은 사용 가능 기간 및 더 짧은 유통 기한), 더 짧은 포트 수명(pot life)(예를 들어, 용기 개봉 후 및/또는 저장 탱크 또는 분배 루프에서의 활성)을 나타내고, 세리아는 실리카보다 가격 변동성이 크다. 또한, 세리아는 희토류 금속을 포함하며 실리카보다 더 비싸다. 이 발명에 따른 조성물은 STI 슬러리에 대해서 세리아 연마제보다 더 연질인 실리카 연마제의 사용을 가능하게 한다. 실리카 함유 연마 조성물은 질화규소(예를 들어, SiN)에 대한 산화규소(예를 들어, TEOS)의 재료 제거율(MRR)에서 매우 양호한 선택성을 제공할 수 있을 뿐만 아니라, 세리아 연마제를 사용하는 STI 공정과 비교하여 매우 낮은 결함을 갖는 연마된 웨이퍼 표면을 또한 제공한다. 따라서, 본 출원에 따른 연마 조성물은 세리아 연마제를 사용하는 통상적인 연마 조성물과 비교하여 웨이퍼의 디바이스 수율(device yield)을 증가시킬 수 있다.
본원에 기술된 연마 조성물은 (a) 연마제, (b) 질화물 제거율 감소제, (c) 산 또는 염기, (d) 물 및 선택적으로 (e) 디싱 감소제(예를 들어, 음이온성 디싱 감소제)를 포함할 수 있다. 연마 조성물은 적어도 약 2 내지 최대 약 6.5의 pH를 가질 수 있다. 본 발명의 연마 조성물은 질화규소를 연마하는 것에 대해 유전체 또는 금속을 연마하는 것에 대한 높은 선택성을 가질 수 있다. 본 발명은 또한 반도체 기판을 연마하기 위해 연마 조성물을 사용하는 방법을 제공한다. 특히, 본 발명은 질화규소에 대해 높은 선택성을 갖는 유전체 또는 금속을 연마하는 방법을 제공한다.
하나 이상의 구현예에서, 적어도 하나(예를 들어, 두 개 또는 세 개)의 연마제는 양이온성 연마제, 실질적으로 중성인 연마제 및 음이온성 연마제로부터 선택된다. 하나 이상의 구현예에서, 적어도 하나의 연마제는 알루미나, 실리카, 티타니아, 세리아, 지르코니아, 이들의 공동 형성된 생성물(co-formed products), 코팅된 연마제, 표면 변성 연마제 및 이들의 혼합물로 이루어지는 군으로부터 선택된다. 일부 구현예에서, 적어도 하나의 연마제는 세리아를 포함하지 않는다.
하나 이상의 구현예에서, 연마제는 콜로이드 실리카, 흄드 실리카(fumed silica) 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것과 같은 실리카계 연마제이다. 하나 이상의 구현예에서, 연마제는 유기 그룹 및/또는 비콜로이드성(non-siliceous) 무기 그룹으로 변성된 표면을 갖는다. 예를 들어, 양이온성 연마제는 식 (I)의 말단 그룹을 포함할 수 있다:
-Om-X-(CH2)n-Y (I),
여기에서, m은 1 내지 3의 정수이고; n은 1 내지 10의 정수이고; X는 Al, Si, Ti 또는 Zr이고; 그리고 Y는 양이온성 아미노 또는 티올 그룹이다. 다른 예로서, 음이온성 연마제는 식 (I)의 말단 그룹을 포함할 수 있다:
-Om-X-(CH2)n-Y (I),
여기에서, m은 1 내지 3의 정수이고; n은 1 내지 10의 정수이고; X는 Al, Si, Ti 또는 Zr이고; 그리고 Y는 산성 그룹이다. 일부 구현예에서, 적어도 하나의 연마제는 본원에 기술된 연마 조성물에 조성물의 총 중량을 기준으로 적어도 약 0.05 중량%(예를 들어, 적어도 약 0.1 중량%, 적어도 약 0.5 중량%, 적어도 약 1 중량%, 적어도 약 2 중량%, 적어도 약 3 중량% 또는 적어도 약 5 중량%) 내지 최대 약 20 중량%(예를 들어, 최대 약 15 중량%, 최대 약 10 중량%, 최대 약 8 중량%, 최대 약 6 중량%, 최대 약 4 중량% 또는 최대 약 2 중량%)의 양으로 존재할 수 있다.
하나 이상의 구현예에서, 본원에 기술된 연마제는 적어도 약 1 nm(예를 들어, 적어도 약 5 nm, 적어도 약 10 nm, 적어도 약 20 nm, 적어도 약 40 nm, 적어도 약 50 nm, 적어도 약 60 nm, 적어도 약 80 nm 또는 적어도 약 100 nm) 내지 최대 약 1000 nm(예를 들어, 최대 약 800 nm, 최대 약 600 nm, 최대 약 500 nm, 최대 약 400 nm 또는 최대 약 200 nm)의 평균 입자 크기를 가질 수 있다. 본원에 사용된 바와 같이, 평균 입자 크기(mean particle size, MPS)는 동적 광 산란(dynamic light scattering) 기술에 의해 결정된다.
하나 이상의 구현예에서, 적어도 하나(예를 들어, 별개의 2개 또는 3개)의 질화물 제거율 감소제는 C12 내지 C40 탄화수소기(예를 들어, 알킬기 및/또는 알케닐기를 함유함)를 함유하는 소수성 부분; 및 설피나이트기, 설페이트기, 설포네이트기, 카르복실레이트기, 포스페이트기 및 포스포네이트기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 함유하는 친수성 부분을 포함하는 화합물이다. 하나 이상의 구현예에서, 소수성 부분과 친수성 부분은 0개 내지 10개(예를 들어, 1개, 2개, 3개, 4개, 5개, 6개, 7개, 8개 또는 9개)의 알킬렌 산화물 기(예를 들어, -(CH2)nO- 기, 여기에서 n은 1, 2, 3 또는 4일 수 있음)로 분리된다. 하나 이상의 구현예에서, 질화물 제거율 감소제는 소수성 부분과 친수성 부분을 분리하는 0개의 알킬렌 산화물 기를 갖는다. 이론에 얽매이지 않고, 질화물 제거율 감소제 내의 알킬렌 산화물 기의 존재는 이들이 슬러리 안정성 문제를 일으키고 질화규소 제거율을 증가시킬 수 있기 때문에, 일부 구현예에서는 바람직하지 않을 수 있는 것으로 여겨진다.
하나 이상의 구현예에서, 질화물 제거율 감소제는 본원에 기술된 연마 조성물에 조성물의 총 중량을 기준으로 적어도 약 0.1 ppm(예를 들어, 적어도 약 0.5 ppm, 적어도 약 1 ppm, 적어도 약 5 ppm, 적어도 약 10 ppm, 적어도 약 25 ppm, 적어도 약 50 ppm, 적어도 약 75 ppm 또는 적어도 약 100 ppm) 내지 최대 약 1000 ppm(예를 들어, 최대 약 900 ppm, 최대 약 800 ppm, 최대 약 700 ppm, 최대 약 600 ppm, 최대 약 500 ppm 또는 최대 약 250 ppm)의 양으로 포함된다.
하나 이상의 구현예에서, 질화물 제거율 감소제는 적어도 12개의 탄소 원자(C12)(예를 들어, 적어도 14개의 탄소 원자(C14), 적어도 16개의 탄소 원자(C16), 적어도 18개의 탄소 원자(C18), 적어도 20개의 탄소 원자(C20) 또는 적어도 22개의 탄소 원자(C22)) 및/또는 최대 40개의 탄소 원자(C40)(예를 들어, 최대 38개의 탄소 원자(C38), 최대 36개의 탄소 원자(C36), 최대 34개의 탄소 원자(C34), 최대 32개의 탄소 원자(C32), 최대 30개의 탄소 원자(C30), 최대 28개의 탄소 원자(C28), 최대 26개의 탄소 원자(C26), 최대 24개의 탄소 원자(C24) 또는 최대 22개의 탄소 원자(C22))를 포함하는 탄화수소기를 함유하는 소수성 부분을 갖는다. 본원에 언급된 탄화수소기는 탄소와 수소 원자만을 함유하는 기를 나타내고, 포화된 기(예를 들어, 선형, 분지형 또는 고리형 알킬기) 및 불포화된 기(예를 들어, 선형, 분지형 또는 고리형 알케닐기; 선형, 분지형 또는 고리형 알키닐기; 또는 방향족기(예를 들어, 페닐 또는 나프틸)) 양쪽 모두를 포함할 수 있다. 하나 이상의 구현예에서, 질화물 제거율 감소제의 친수성 부분은 포스페이트기와 포스포네이트기로부터 선택되는 적어도 하나의 기를 함유한다. "포스포네이트기"라는 용어는 포스폰산기를 포함하는 것을 의미한다는 것에 유의하여야 한다.
하나 이상의 구현예에서, 질화물 제거율 감소제는 나프탈렌설폰산-포르말린 축합물(condensate), 라우릴 포스페이트, 미리스틸 포스페이트, 스테아릴 포스페이트, 옥타데실포스폰산, 올레일 포스페이트, 베헤닐 포스페이트, 옥타데실 설페이트, 라세릴 포스페이트, 올레쓰-3-포스페이트 및 올레쓰-10-포스페이트로 이루어지는 군으로부터 선택된다.
하나 이상의 구현예에서, 본원에 기술된 연마 조성물은 선택적으로 적어도 하나(예를 들어, 2개 또는 3개)의 디싱 감소제(예를 들어, 음이온성 디싱 감소제)를 추가로 포함한다. 하나 이상의 구현예에서, 적어도 하나의 디싱 감소제는 하이드록시, 설페이트, 포스포네이트, 포스페이트, 설포네이트, 아민, 나이트레이트, 나이트라이트, 카르복실레이트 및 카보네이트 기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 포함하는 화합물이다. 하나 이상의 구현예에서, 적어도 하나의 디싱 감소제는 다당류 및 치환된 다당류로 이루어지는 군으로부터 선택되는 적어도 하나이다. 하나 이상의 구현예에서, 적어도 하나의 디싱 감소제는 카라기난, 잔탄 검, 하이드록시프로필 셀룰로오스, 메틸 셀룰로오스, 에틸 셀룰로오스, 하이드록시프로필 메틸 셀룰로오스 및 카르복시메틸 셀룰로오스로 이루어지는 군으로부터 선택되는 적어도 하나이다. 하나 이상의 구현예에서, 적어도 하나의 질화물 제거율 감소제와 적어도 하나의 디싱 감소제는 화학적으로 서로 상이하다.
하나 이상의 구현예에서, 디싱 감소제는 본원에 기술된 연마 조성물에 조성물의 총 중량을 기준으로 적어도 약 0.1 ppm(예를 들어, 적어도 약 0.5 ppm, 적어도 약 1 ppm, 적어도 약 5 ppm, 적어도 약 10 ppm, 적어도 약 25 ppm, 적어도 약 50 ppm, 적어도 약 75 ppm 또는 적어도 약 100 ppm) 내지 최대 약 1000 ppm(예를 들어, 최대 약 900 ppm, 최대 약 800 ppm, 최대 약 700 ppm, 최대 약 600 ppm 또는 최대 약 500 ppm)의 양으로 포함된다.
하나 이상의 구현예에서, 산은 포름산, 아세트산, 말론산, 시트르산, 프로피온산, 말산, 아디프산, 숙신산, 락트산, 옥살산, 하이드록시에틸리덴 디포스폰산, 2-포스포노-1,2,4-부탄 트리카르복시산, 아미노트리메틸렌 포스폰산, 헥사메틸렌디아민 테트라(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 아미노 아세트산, 과산화 아세트산, 아세트산 칼륨, 페녹시 아세트산, 글리신, 바이신, 디글리콜산, 글리세르산, 트리신, 알라닌, 히스티딘, 발린, 페닐알라닌, 프롤린, 글루타민, 아스파르트산, 글루탐산, 아르기닌, 라이신, 티로신, 벤조산, 질산, 황산, 아황산, 인산, 포스폰산, 염산, 과요오드산 및 이들의 혼합물로 이루어지는 군으로부터 선택된다.
하나 이상의 구현예에서, 염기는 수산화 칼륨, 수산화 나트륨, 수산화 세슘, 수산화 암모늄, 트리에탄올 아민, 디에탄올 아민, 모노에탄올 아민, 수산화 테트라부틸 암모늄, 수산화 테트라메틸 암모늄, 수산화 리튬, 이미다졸, 트리아졸, 아미노트리아졸, 테트라졸, 벤조트리아졸, 톨릴트리아졸, 피라졸, 이소티아졸 및 이들의 혼합물로 이루어지는 군으로부터 선택된다.
하나 이상의 구현예에서, 산 또는 염기는 본원에 기술된 연마 조성물에 조성물의 총 중량을 기준으로 적어도 약 0.01 중량%(예를 들어, 적어도 약 0.05 중량%, 적어도 약 0.1 중량%, 적어도 약 0.5 중량% 또는 적어도 약 1 중량%) 내지 최대 약 10 중량%(예를 들어, 최대 약 8 중량%, 최대 약 6 중량%, 최대 약 5 중량%, 최대 약 4 중량% 또는 최대 약 2 중량%)의 양으로 존재할 수 있다. 예를 들어, 산 또는 염기는 연마 조성물의 pH를 원하는 값으로 조절하기에 충분한 양으로 첨가될 수 있다.
하나 이상의 구현예에서, 물은 본원에 기술된 연마 조성물에 (예를 들어, 액체 매질 또는 담체로서) 조성물의 총 중량을 기준으로 적어도 약 50 중량%(예를 들어, 적어도 약 55 중량%, 적어도 약 60 중량%, 적어도 약 65 중량%, 적어도 약 70 중량% 또는 적어도 약 75 중량%) 내지 최대 약 99.9 중량%(예를 들어, 최대 약 99.5 중량%, 최대 약 99 중량%, 최대 약 97 중량%, 최대 약 95 중량% 또는 최대 약 90 중량%)의 양으로 존재할 수 있다.
하나 이상의 구현예에서, 본원에 기술된 연마 조성물은 적어도 약 2(예를 들어, 적어도 약 2.5, 적어도 약 3, 적어도 약 3.5 또는 적어도 약 4) 내지 최대 약 6.5(예를 들어, 최대 약 6, 최대 약 5.5, 최대 약 5 또는 최대 약 4.5)의 pH를 가질 수 있다. 이론에 얽매이지 않고, 6.5를 초과하는 pH를 갖는 연마 조성물은 산화규소/질화규소 제거율 선택성을 감소시키며 안정성 문제를 가질 수 있는 것으로 여겨진다.
하나 이상의 구현예에서, 본원에 기술된 연마는 염(예를 들어, 할로겐화물 염), 중합체(예를 들어, 양이온성 또는 음이온성 중합체, 또는 디싱 감소제 이외의 중합체), 계면 활성제(예를 들어, 질화물 제거율 감소제 이외의 것), 가소제, 산화제, 부식 억제제(예를 들어, 아졸 또는 비-아졸 부식 억제제) 및/또는 특정 연마제(예를 들어, 세리아 연마제 또는 비이온성 연마제)와 같은 하나 이상의 특정 성분을 실질적으로 포함하지 않을 수 있다. 연마 조성물로부터 배제될 수 있는 할로겐화물 염은 알칼리 금속 할로겐화물(예를 들어, 나트륨 할로겐화물 또는 칼륨 할로겐화물) 또는 암모늄 할로겐화물(예를 들어, 염화 암모늄)을 포함하며, 염화물, 브롬화물 또는 요오드화물일 수 있다. 본원에 사용된 바와 같이, 연마 조성물이 "실질적으로 포함하지 않는" 성분은 연마 조성물에 의도적으로 첨가되지 않은 성분을 말한다. 일부 구현예에서, 본원에 기술된 연마 조성물은 연마 조성물을 실질적으로 포함하지 않는 상기 성분 중 하나 이상을 최대 약 1000 ppm(예를 들어, 최대 약 500 ppm, 최대 약 250 ppm, 최대 약 100 ppm, 최대 약 50 ppm, 최대 약 10 ppm 또는 최대 약 1 ppm)으로 가질 수 있다. 일부 구현예에서, 기술된 연마 조성물은 하나 이상의 상기 성분을 전혀 포함하지 않을 수 있다.
하나 이상의 구현예에서, 본원에 기술된 연마 조성물은 적어도 약 3:1, 또는 적어도 약 4:1, 또는 적어도 약 5:1, 또는 적어도 약 10:1, 또는 적어도 약 25:1, 또는 적어도 약 50:1, 또는 적어도 약 60:1, 또는 적어도 약 75:1, 또는 적어도 약 100:1, 또는 적어도 약 150:1, 또는 적어도 약 200:1, 또는 적어도 약 250:1, 또는 적어도 약 300:1, 또는 적어도 약 500:1, 또는 적어도 약 750:1, 또는 최대 약 1000:1 또는 최대 약 5000:1의 질화규소에 대한 제거율(즉, 제거율 선택성)에 대한 산화규소(예를 들어, TEOS)에 대한 제거율의 비율을 갖는다. 하나 이상의 구현예에서, 블랭킷 웨이퍼 또는 패턴화된 웨이퍼(즉, 질화규소 패턴이 적어도 산화규소(및 선택적으로 금속 및 유전체와 같은 다른 재료)로 오버레이된, 적어도 질화규소 패턴을 포함하는 웨이퍼) 중 어느 하나를 연마하기 위한 제거율 측정시, 상기 기술된 비율이 적용될 수 있다.
하나 이상의 구현예에서, 패턴화된 웨이퍼(적어도 산화규소로 오버레이된 적어도 질화규소 패턴을 포함할 수 있음)를 연마 조성물로 연마할 때(예를 들어, 연마가 패턴화된 웨이퍼 상의 질화규소 패턴을 노출시킬 때까지), 최대 약 1000 옹스트롬, 최대 약 500 옹스트롬, 또는 최대 약 375 옹스트롬, 또는 최대 약 250 옹스트롬, 또는 최대 약 200 옹스트롬, 또는 최대 약 100 옹스트롬, 또는 최대 약 50 옹스트롬 및/또는 적어도 약 0 옹스트롬의 산화규소(예를 들어, TEOS) 디싱이 발생한다. 하나 이상의 구현예에서, 패턴화된 웨이퍼(적어도 산화규소로 오버레이된 적어도 질화규소 패턴을 포함할 수 있음)를 연마 조성물로 연마할 때(예를 들어, 연마가 패턴화된 웨이퍼 상의 질화규소 패턴을 노출시킬 때까지), 최대 약 500 옹스트롬, 또는 최대 약 400 옹스트롬, 또는 최대 약 300 옹스트롬, 또는 최대 약 250 옹스트롬, 최대 약 200 옹스트롬, 최대 약 100 옹스트롬, 또는 최대 약 75 옹스트롬, 또는 최대 약 65 옹스트롬, 또는 최대 약 50 옹스트롬, 또는 최대 약 32 옹스트롬 및/또는 적어도 약 0 옹스트롬의 질화규소 부식이 발생한다.
하나 이상의 구현예에서, 평탄화 효율(즉, 산화규소 단차의 변화를 연마 동안 제거된 산화규소의 양으로 나누고, 100을 곱함)은 본 발명에 따른 연마 조성물을 사용하여 패턴화된 웨이퍼를 연마할 때, 적어도 약 14%(예를 들어, 적어도 약 20%, 적어도 약 30%, 적어도 약 38%, 적어도 약 40%, 적어도 약 46%, 적어도 약 50%, 적어도 약 60%, 적어도 약 70% 또는 적어도 약 74%) 및 최대 약 100%(예를 들어, 최대 약 99.9%, 최대 약 99%, 최대 약 95%, 최대 약 90%, 최대 약 80%, 최대 약 70% 및 최대 약 60%)이다. 하나 이상의 구현예에서, 12 인치(즉, 약 300 mm)의 직경을 갖는 패턴화된 웨이퍼 상의 총 결함 수(defect count)는 본 발명에 따른 연마 조성물(예를 들어, 실리카 연마제와 질화물 제거율 감소제를 포함하는 조성물)을 사용하여 패턴화된 웨이퍼를 연마할 때, 최대 175개(예를 들어, 최대 170개, 최대 160개, 최대 150개, 최대 125개, 최대 100개, 최대 75개, 최대 50개, 최대 25개, 최대 10개, 또는 최대 5개)이다. 본원에 기술된 바와 같이, 계수된 결함은 크기가 적어도 약 90 nm인 것이다.
하나 이상의 구현예에서, 본 발명은 기판의 표면상에 적어도 질화규소와 산화규소를 갖는 기판(예를 들어, 웨이퍼)에 본 발명에 따른 연마 조성물을 도포하는 단계; 및 패드를 기판의 표면과 접촉시키고 기판에 대해 패드를 이동시키는 단계를 포함할 수 있는 연마 방법을 특징으로 한다. 일부 구현예에서, 기판이 적어도 산화규소(예를 들어, 규소계 유전체(예를 들어, 탄화규소 등), 금속, 금속 산화물 및 질화물 등과 같은 다른 재료의 존재하에서 산화규소)로 오버레이된 적어도 질화규소 패턴을 포함할 때, 상기 방법은 질화규소를 노출시키기 위해 산화규소(예를 들어, 활성, 비-트렌치 영역 상의 산화규소)의 적어도 일부를 제거할 수 있다. 본원에 기술된 "질화규소" 및 "산화규소"라는 용어는 질화규소 및/또는 산화규소의 도핑되지 않은 버전과 도핑된 버전 모두를 포함하는 것으로 명백히 의도되었음에 유의하여야 한다. 예를 들어, 하나 이상의 구현예에서, 질화규소와 산화규소는 독립적으로 탄소, 질소(산화규소의 경우), 산소, 수소, 또는 질화규소 또는 산화규소에 대한 임의의 다른 공지된 도펀트(dopant)로부터 선택되는 적어도 하나의 도펀트로 도핑될 수 있다. 산화규소 필름 유형의 일부 예는 몇 가지 예를 들면, TEOS(테트라-에틸 오르쏘실리케이트), SiOC, SiOCN, SiOCH, SiOH 및 SiON을 포함한다. 질화규소 필름 유형의 일부 예는 몇 가지 예를 들면, SiN(순수한 질화규소), SiCN, SiCNH 및 SiNH를 포함한다.
일부 구현예에서, 본원에 기술된 연마 조성물을 사용하는 방법은 연마 조성물에 의해 처리된 기판으로부터 반도체 디바이스를 제조하기 위해 하나 이상의 추가 단계를 추가로 포함할 수 있다. 예를 들어, 방법은 상기 기술된 연마 방법 전에 다음 단계들 중 하나 이상을 포함할 수 있다: (1) 기판(예를 들어, 실리콘 웨이퍼)상에 산화규소(예를 들어, 열적 산화규소)를 증착시켜 산화규소 층을 형성하는 단계, (2) 산화규소 층 상에 질화규소를 증착시켜 질화규소 층을 형성하는 단계, (3) 기판을 에칭하여 트렌치 및 비-트렌치 영역을 형성하는 단계, 및 (4) 에칭된 기판에 산화규소를 증착시켜 산화규소로 트렌치를 채우는 단계. 다른 예로서, 방법은 웨이퍼 기판상에 규소 및/또는 산화규소 또는 다른 불균질한 필름을 노출시키기 위해, 상기 기술된 연마 방법 후에 (예를 들어, 질화규소와 산화규소를 제거하기 위해) 기판을 에칭하는 것과 같은 적어도 하나의 추가 단계를 포함할 수 있다.
실시예
본 발명의 연마 조성물 및 방법의 능력을 추가로 예시하기 위하여 실시예를 제공한다. 제공된 실시예는 본 발명의 범위를 제한하고자 하는 것이 아니며, 제한하는 것으로 해석되어서는 안된다. 열거된 임의의 퍼센트는 달리 명시되지 않는 한 중량을 기준으로 한다(중량%). 실시예에 기술된 질화물 제거율 감소제는 다양한 공급업체로부터 얻어졌으며, 일부 경우에는 아래 표에 명시된 것보다 작거나 큰 탄소 사슬 길이를 갖는 유사 화합물을 소량 포함할 수 있다. 표에 명시된 탄소 사슬 길이는 질화물 제거율 감소제의 주성분을 나타낸다.
실시예 1: 질화물 정지(stop)의 입증
이 실시예에서, 샘플 1A~1F에 사용된 연마 조성물은 주로 3 w/w%의 중성 콜로이드성 실리카 연마제, pH 조절제로서 말론산, 질화물 제거율 감소제(존재할 경우) 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 2.3이었다. 2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 패드(pad) 상에서 Applied Materials Mirra CMP 연마기(polisher)를 사용하여, 200 mm 산화규소(TEOS) 및 질화규소(SiN) 블랭킷 웨이퍼를 연마하였다
TEOS 및 SiN 제거율 대(vs.) 계면활성제 종을 감소시키는 질화물 제거율
샘플 질화물 제거율 감소제 EO 기(group) TEOS RR
[Å/분]
SiN RR
[Å/분]
TEOS RR/
SiN RR
대조군 없음 0 982 121 8
1A 스테아릴 포스페이트 0 816 2 408
1B n-옥타데실포스포네이트 0 868 1 868
1C 올레일 포스페이트 0 965 4 241
1D 옥타데실 설페이트 0 854 1 854
1E 올레쓰-3 포스페이트 3 790 10 79
1F 올레쓰-10 포스페이트 10 629 7 90
"EO"는 에틸렌 산화물을 나타낸다. "RR"은 제거율을 나타낸다.
표 1의 결과는 대조군 연마 조성물(질화물 제거율 감소제를 포함하지 않음)이 산화규소와 질화규소 사이의 제거율 선택성(removal rate selectivity)이 8인 것을 나타내며, 이는 낮은 질화규소 비율을 필요로 하는 대부분의 적용에 대해서 지나치게 낮다. 그러나 질화물 제거율 감소제의 첨가로, 연마 조성물의 질화규소 제거율은 1 Å/분까지 떨어졌고, 제거율 선택성은 868까지 올라갔다.
실시예 2: pH 범위 및 상이한 연마 표면 전하의 입증
이 실시예에서, 샘플 2A~2I에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 유기산, n-옥타데실 포스폰산 및 액체 담체로서 물을 포함하였다. n-옥타데실 포스폰산은 본원에 기술된 질화물 제거율 감소제의 종류를 대표한다. 또한, 이 실시예에서, 콜로이드성 실리카 전하(colloidal silica charge)는 표 2에 나타낸 바와 같이 중성, 양이온성 및 음이온성 실리카를 사용하여 변화시켰다. 연마 조성물의 pH는 약 2.25 내지 약 4.25로 다르게 하였다. 2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm 산화규소(TEOS) 및 질화규소(SiN) 블랭킷 웨이퍼를 연마하였다.
TEOS 및 SiN 제거율 대 3가지 유형의 실리카를 이용한 pH
샘플 연마제 n-옥타데실포스폰산
상대 농도
pH TEOS RR
[Å/분]
SiN RR
[Å/분]
TEOS RR/
SiN RR
2A 중성 실리카 × 2.25 925 3 308
2B × 2.75 1186 2 593
2C × 3.50 921 3 307
2D × 4.25 587 9 65
2E 양이온성 실리카 2.75 389 2 194
2F 3.50 458 2 229
2G 4.25 815 2 407
2H 음이온성 실리카 2.25 47 27 2
2I 3.25 44 13 3
표 2에 나타낸 바와 같이, 질화물 제거율 감소제는 약 2.25 내지 약 4.25의 pH 범위에서 중성, 양이온성 및 음이온성 실리카로 질화규소 제거율을 제어할 수 있었다. 실리카 연마제의 표면 전하와 관계없이, 상기 시스템의 확실한(robust) 질화물 비율 감소는 놀랍다. 예를 들어, 양이온성 연마제는 음이온성 질화물 제거율 감소제와 상용성이 좋지 않을 것으로 일반적으로 생각된다. 대조적으로, 이 시스템에서 슬러리는 안정한 상태로 남아 있고, 질화물 제거율 감소제는 활성 상태로 남아 있다.
통상적으로, 음이온성 연마재를 사용할 때 질화규소 제거율은 일반적으로 매우 높고(~ 400 Å/분) 제어하기 어렵다. 중요하게도, 본원에 기술된 질화물 제거율 감소제는 질화규소 제거율을 현저하게 감소시킬 수 있었다. 이러한 유형의 시스템은 음이온성 연마제에 의해서 잘 연마된 필름(예를 들어, 탄화 규소 필름) 상에 높은 제거율로 낮은 TEOS 및 질화규소 제거율이 요구되는 경우에 유용할 수 있다.
실시예 3: 질화물 제거율 감소제의 사슬 길이 및 헤드 유형의 효과 입증
이 실시예에서, 샘플 3A~3L에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 말론산, 표 3에 나타낸 질화물 제거율 감소제 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 2.25였다. 구체적으로, 샘플 3A~3L에 사용된 질화물 제거율 감소제는 임의의 알킬렌 산화물 기를 포함하지 않고 표 3에 기술된 헤드 유형과 소수성을 포함하였다. 또한, 샘플 3I, 3J 및 3K에 사용된 질화물 제거율 감소제는 라우릴/미리스틸 포스페이트, 스테아릴 포스페이트 및 라세릴 포스페이트가 각기 주요 성분인 계면 활성제의 혼합물을 포함하였다.
2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm 산화규소(TEOS) 및 질화규소 블랭킷 웨이퍼를 연마하였다.
TEOS 및 SiN 제거율 대 질화물 제거율 감소제의 헤드 그룹 및 소수성
샘플 헤드 유형 소수성 물질
(Hydrophobe)
TEOS RR
[Å/분]
SiN RR
[Å/분]
TEOS RR/
SiN RR
3A 포스포네이트 n-헥실 953 157 6
3B 포스포네이트 n-옥틸 906 138 7
3C 포스포네이트 n-데실 895 146 6
3D 포스포네이트 n-도데실 940 3 313
3E 포스포네이트 n-테트라데실 919 3 306
3F 포스포네이트 n-헥사데실 855 2 427
3G 포스포네이트 n-옥타데실 811 2 405
3H 포스페이트 헥실(C6) 946 139 7
3I 포스페이트 라우릴/미리스틸
(C12/C14)
925 4 231
3J 포스페이트 스테아릴(C18) 835 3 279
3K 포스페이트 베헤닐(C22) 828 2 414
3L 포스페이트 라세릴(C32) 898 23 39
표 3에 나타낸 바와 같이, 질화물 제거율 감소제에서 소수성 물질의 크기는 질화규소의 비율 감소 효과를 결정하는 데 중요한 역할을 수행한다. 표 3은 시험된 약품 중에서 사슬 길이가 12 이상인 것이 시험 된 조건하에서 효과적인 질화물 정지을 위해 가장 잘 수행함을 보여준다. 질화물 제거율 감소제에서 12 이상의 탄소 사슬 길이(표 3에서 샘플 3D, 3E, 3F, 3G, 3I, 3J, 3K 및 3L 참고)는 낮은 SiN RR(전형적으로, < 5 Å/분)을 보장하고, 블랭킷 필름에 대해서는 TEOS:SiN RR (> 250)에 대해 높은 선택성 비(selectivity ratio)를 생성한다. 따라서, 이러한 연마 조성물은 질화규소에 대한 산화규소의 높은 선택성 비가 요구되는 STI CMP 공정에 이상적으로 적합하다.
실시예 4: 다운 포스 효과의 입증
이 실시예에서, 샘플 4A~4C에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 유기산, n-옥타데실 포스폰산 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 2 내지 6.5였다. 2, 3, 및 4 psi의 다운 포스와 175 mL/분의 유속으로 Dow IC1010 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm 고밀도 플라스마(HDP) 산화규소, 테트라에틸 오르쏘 실리케이트 산화물(TEOS), 보로포스포 실리케이트 유리(BPSG) 및 질화규소 코팅 웨이퍼를 연마하였다.
HDP, TEOS, BPSG 및 SiN 제거율 대 다운 포스
샘플 압력[psi] HDP RR[Å/분] TEOS RR[Å/분] BPSG RR[Å/분] SiN RR[Å/분]
4A 2 1147 1835 4971 4
4B 3 1506 2324 6675 2
4C 4 1752 3140 8173 1
표 4에 나타낸 바와 같이, 산화규소 필름(HDP, TEOS 및 BPSG)은 프레스토니안 거동을 보였으나, 질화규소 제거율은 비-프레스토니안 거동을 나타내었고, 적용된 다운 포스에 상관없이 잘 제어되었다. CMP 언어에서, 제거율의 프레스토니안 거동은 연마율(polishing rate)이 연마기의 연마 압력 및/또는 각속도(angular velocity)/rpm(분당 회전수)의 증가에 따라 선형적으로 증가함을 의미한다. 고속(high rate)의 대상 필름의 경우, 프레스토니안 거동이 바람직하다(본원의 산화규소 필름). 비-프레스토니안 거동은 연마율이 압력 또는 속도의 변화에 따라 크게 변하지 않는다는 것을 의미한다. 비-프레스토니안 거동은 정지(stop on) 필름(본원에서 SiN)에 대해 다소 바람직하다. 표 4에서 볼 수 있는 바와 같이, 산화규소 필름의 제거율은 다운 포스의 증가에 따라 선형적으로/프레스토니안적으로 증가한다(예를 들어, TEOS RR은 다운 포스가 2 내지 3 내지 4 psi의 압력으로 증가함에 따라 1835 내지 2324 내지 3140 Å/분으로 증가한다). 이와 반대로, SiN(정지 필름) 제거율은 압력의 증가에 따라 크게 변하지 않는다(즉, SiN RR은 다운 포스가 2 내지 3 내지 4 psi 압력으로 증가함에 따라 4 내지 2 내지 1 Å/분으로 변동한다). 또한, 이 실시예는 연마 조성물이 앞에서 정의된 바와 같은 산화규소 패밀리의 필름상에서 유사한 거동을 갖는다는 것을 증명한다. 보다 명확히 하기 위해, 표 4에 세 가지 실시예의 산화규소 필름을 묘사한다: HDP, TEOS 및 BPSG. 본 발명의 연마 조성물은 모든 상이한 유형의 산화규소 필름에 대해 높은 재료 제거율을 제공하는 데 매우 효과적으로 작용한다. 상이한 종류의 질화규소 필름(SiN, SiCN 등)의 예를 사용한 동등한 실험은 표 4에 묘사된 SiN 필름상에서 달성된 것과 유사한 슬러리 정지 거동을 보여주었다. 단순화를 위해, 표 4에는 SiN 필름 비율만 도시된다.
실시예 5: 패드 효과의 입증
이 실시예에서, 샘플 5A~5C에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 유기산, 질화물 제거율 감소제 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 2 내지 6.5였다. 2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 또는 Fujibo H800 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm 테트라에틸 오르쏘실리케이트 산화물(TEOS) 및 질화규소(SiN) 블랭킷 웨이퍼를 연마하였다.
TEOS 및 SiN 제거율 대 패드 및 질화물 제거율 감소제
샘플 패드(Pad) 질화물 제거율 감소제 TEOS RR
[Å/분]
SiN RR
[Å/분]
TEOS RR/
SiN RR
5A Dow VP6000 스테아릴 포스페이트 745 2 373
5B n-옥타데실 포스포네이트 756 1 756
5C 올레일 포스페이트 835 3 278
5A Fujibo H800 스테아릴 포스페이트 951 8 119
5B n-옥타데실 포스포네이트 942 2 471
5C 올레일 포스페이트 970 61 16
표 5에 나타낸 바와 같이, 질화물 제거율 감소제는 질화규소 보호에 영향을 미쳤다. 중간 경도(medium hardness)를 갖는 Dow VP6000 패드 상에서, 모든 샘플(5A~5C)은 낮은 SiN 제거율과 높은 TEOS/SiN 제거율 선택성에 의해 입증된 바와 같이 효과적인 질화물 보호를 제공하였다. 그러나 연질 패드인 Fujibo H800 패드 상에서, 긴 사슬 포화 소수성 물질(hydrophobe)을 갖는 질화물 제거율 감소제를 함유하는 샘플(5A, 5B)만이 효과적인 질화물 정지를 제공하였다. 따라서, 이 실시예는 본 발명의 연마 조성물이 모든 종류의 연마 패드 상에서 효과적으로 작용함을 입증한다. 또한, 이 실시예는 질화물 제거율 감소제가 더 긴 소수성 물질을 포함하고, 더 포화되고/되거나 더 소수성일 때 질화물 보호가 증가되는 경향을 시사한다.
실시예 6: 디싱 감소의 입증
이 실시예에서, 샘플 6A~6D에 사용된 연마 조성물은 3 w/w%의 콜로이드성 실리카 연마제, pH 조절제로서 유기산, n-옥타데실 포스폰산, 음이온성 디싱 감소 중합체(존재할 경우) 및 액체 담체로서 물을 포함하였다. 연마 조성물의 pH는 3.0이었다. 2 psi의 다운 포스와 175 mL/분의 유속으로 Dow VP6000 패드 상에서 Applied Materials Mirra CMP 연마기를 사용하여, 200 mm STI 1 산화규소/질화규소 패턴화된 웨이퍼를 연마하였다. 웨이퍼는 약 50초 후에 레이저 측정에 의해 종료점이 지정되고, 20초 과-연마(over-polish) 된다.
산화물 디싱에 대한 음이온성 디싱 감소 중합체의 효과
샘플 음이온성
디싱 감소 중합체
디싱[Å]
5 ㎛ 피쳐 │ 50% 밀집
디싱[Å]
20 ㎛ 피쳐 │ 50% 밀집
6A - 1194 1223
6B 카라기난 96 180
6C 잔탄 검 37 1068
6D 카르복시메틸셀룰로오스 172 900
표 6에 나타낸 바와 같이, 음이온성 디싱 감소 중합체의 첨가는 특히 작은 피쳐(feature) 상에서 산화물 디싱을 제어하는 데 효과적이다. 샘플 6A는 디싱 감소제를 포함하지 않은 반면, 샘플 6B, 6C 및 6D는 세 가지 상이한 유형의 디싱 감소제를 포함하였다. 표 6에서 볼 수 있는 바와 같이, 5 ㎛ 및 20 ㎛ 피쳐 모두에 대한 산화규소 디싱 값은 샘플 6A와 비교했을 때, 샘플 6B, 6C 및 6D에 대해서 훨씬 더 작다.
실시예 7: 농축액의 입증
이 실시예에서, 샘플 7A~7C에 사용된 연마 조성물은 3 w/w%의 중성 콜로이드성 실리카 연마제, pH 조절제로서 유기산 및/또는 수산화칼륨, n-옥타데실 포스폰산 및 액체 담체로서 물의 사용 시점 제형(point of use formulation)에 해당하는 농축액을 포함하였다. 단일 포트 용액(single pot solution)은 연마하는 데 필요한 모든 성분을 함유한 반면, 2-부분 시스템은 유기산을 제외한 모든 성분을 함유하였다. 평균 입자 크기(MPS)는 슬러리 안정성의 신뢰할 수 있는 지표이다. 불안정한 시스템에서, 입자는 시간이 지남에 따라 응집되어, 측정 가능한 MPS 성장을 야기하였다. 동적 광 산란 기술을 사용하여 Malvern 도구에서 MPS를 측정하였다. 슬러리를 60℃로 설정된 오븐에 보관하고, 7일마다 측정하였다. 가속 노화(accelerated aging) 시험에 대한 아레니우스 관계(Arrhenius relationship)에 따라, 21일의 전체 시험 실행(full test run)은 실온 노화의 대략 1년에 해당한다. 즉, 슬러리를 60℃에서 21일 동안 유지하고 실리카의 MPS가 현저하게 증가하지 않으면, 슬러리는 일 년의 실시간 저장 수명/유통 기한을 갖는다는 것이 확인될 수 있다.
슬러리 농축액의 가속 노화(60℃)
샘플 유형 pH MPS [nm]
0 일
MPS [nm]
7 일
MPS [nm]
14 일
MPS [nm]
21 일
7A 2× 단일 포트 2.2 68 69 69 69
7B 2× 단일 포트 3.0 65 65 66 66
7C 5× 2-부분 9.5 72 73 73 74
표 7에 나타낸 바와 같이, 모든 제형은 전체 시험 수행을 통해 안정적이다. 중성 실리카에 대한 산성 영역에서의 안정성은 전형적으로 달성하기 어렵다. 단일 포트 용액은 2× 농도(표 7에 나타낸 데이터 선택) 및 다른 농도 수준(예를 들어, 3×, 4× 및 최대 10× 농도)(미도시)에서 약 2 내지 약 6.5의 pH에서 안정하였다. 2-부분 용액(7C)에서, 산을 제외하고는 모든 성분이 훨씬 더 많이 농축되어 안정적으로 유지될 수 있었다(최대 10배까지 안정하게 유지됨). 사용 시점에서, 산과 물은 연마 도구 상에서 연마하기 전에 슬러리를 재구성하기 위해 첨가될 것이다.
실시예 8: 패턴화된 웨이퍼 제거율 선택성의 입증
이 실시예에서, 표 1, 3 및 5에 나타낸 콜로이드성 실리카 연마제 및 질화물 제거율 감소제를 함유하는 샘플 8A, 8B 및 8C에 사용된 연마 조성물을 사용하여 200 mm STI 패턴화된 웨이퍼를 연마하였고, 여기에서 패턴화된 질화규소는 도 2에 나타낸 바와 같이 고밀도 산화규소로 충전된다. 질화규소에서의 패턴은 다양한 피치(pitch) 및 밀도의 다수의 라인 스페이스, 스퀘어, 체커 및 메시 어레이가 웨이퍼 표면 전체에 걸쳐 정렬되도록 배치되었다.
DowDupont VP6000 패드, 3M A165 CIP1 컨디셔닝 디스크가 장착된 Applied Materials 200 mm Mirra 연마 도구 상에서 연마를 하였으며, 2 PSI 웨이퍼 배압(back pressure)을 사용하였다. 연마 시간은 모터 토오크(motor torque) 및 적색 레이저(650 nm) 흡광도에 의한 현장 종점 검출(in-situ endpoint detection)에 기초하여 변화하였다. 연마 동안, 두 종점 신호 내의 피쳐가 관찰되어 필름 스택의 활성 라인에서 산화규소가 제거되고, 아래에 있는 질화규소가 노출되었음을 나타낸다. 패턴화된 산화규소 제거율은 질화규소의 노출 전에 제거된 재료의 양을 연마 시간으로 나눈 값으로 계산하였다. 이와 반대로, 패턴화된 질화규소 제거율은 제거된 재료의 양을 연마 조성물에 노출된 후의 시간으로 나눈 값으로 계산하였다. 연마가 완료되면, Fujifilm Wako 8901 CMP 후(post-CMP) 세정 화학 물질을 사용하여 200 mm 온트랙(OnTrack) CMP 후 세정 도구(Lam Research company)를 통해 웨이퍼를 세정하였다. KLA Tencor F5X 엘립소미터(ellipsometer)를 사용하여 모든 웨이퍼의 (예를 들어, 제거율을 결정하기 위해) 필름 두께 측정치를 측정하였다.
다양한 라인 스페이스 어레이에서의 패턴화된 웨이퍼 제거율과 선택성

샘플
어레이 활성 라인 폭
(㎛)
어레이
피치
(㎛)
어레이 패턴 밀도
(%)
산화규소
제거율
(Å/분)
질화규소
제거율
(Å/분)

선택성
8A 5 50 10 1301 15 86.7
45 50 90 749 8 93.6
0.18 0.36 50 1330 7 190.0
0.50 1.00 50 1072 10 107.2
100 200 50 1920 18.0 106.6
8B 100 200 50 1710 31.8 53.8
8C 0.05 0.5 10 1043 238 4.3
표 8에 제시된 데이터로부터, 블랭킷 웨이퍼 상에서 이전에 관찰된 산화규소와 질화규소 재료 제거율 사이의 높은 선택성은 산화규소(상단) 및 질화규소(하단) 모두를 함유하는 패턴화된 웨이퍼에서도 관찰된다. 표 8에서 알 수 있는 바와 같이, 샘플 8A에 대해서는, 산화규소 대 질화규소 선택성은 패턴 크기, 밀도 및 피치에 따라 86에서 190까지 다양하다. 샘플 8B에 대해서는, 산화규소 대 질화규소 선택성은 54인 반면, 샘플 8C에 대해서 선택성은 4이다. 표 8은 패턴화된 웨이퍼의 성능에 대한 대표적인 예만을 제공한다. 사내 실험에서 선택성 비율은 필름 복잡성에 따라 패턴화된 시험 웨이퍼 상에서 3(패턴화된 웨이퍼에 대해 만족스러운 것으로 간주됨)에서 약 1000까지 다양한 것으로 관찰되었다. 또한, 본원에 제시된 질화물 제거율 감소제를 함유하는 연마 조성물의 선택성은 선행 기술에 제시된 많은 유산, 산업 표준, 상업적으로 이용 가능한 세리아계 STI 연마 조성물의 선택성을 초과한다.
실시예 9: 패턴화된 웨이퍼 디싱 및 부식의 입증
이 실시예에서, 종점에서의 산화규소 디싱/단차 및 질화규소 부식/손실을 정량하기 위해 실시예 8에서 사용된 것과 유사한 패턴화된 웨이퍼를 Park Systems AFM 도구에서 측정하였다. 샘플 9A와 9B에 사용된 연마 조성물은 표 1, 3 및 5에 나타낸 질화물 제거율 감소제를 함유하며, 그 스택을 도 2에 도시되어 있는 패턴화된 웨이퍼를 연마하는 데 사용하였다. 산화규소 디싱/단차 및 질화규소 부식/손실 결과는 표 9에 나타나 있다. 평탄화 효율(Planarization efficiency, PE)은 백분율로 표시되며, 산화규소 단차의 변화를 연마 중에 제거된 산화물의 양으로 나눈 다음 (백분율로 변환시키기 위해) 백을 곱한 값과 같다.
패턴화된 웨이퍼 디싱 및 부식
샘플 어레이 활성 라인 폭(㎛) 어레이 피치
(㎛)
어레이 패턴 밀도(%) 산화물 디싱
(Å)
질화규소 부식(Å) 평탄화 효율
(%)
9A 5 50 10 40 74 46
45 50 90 157 10 38
0.18 0.36 50 48 70 14
0.50 1.00 50 35.6 60 17
100 200 50 245 30 74
9B 100 200 50 375 34 72
표 9에서 알 수 있는 바와 같이, 산화규소 디싱 및 질화규소 부식은 매우 작다. 전형적으로, 디싱과 부식을 위해, 매우 낮은 수치가 바람직하다. 디싱 및 부식 수치는 패턴화된 웨이퍼의 최종 토포그래피 CMP 후 연마의 평탄성을 나타낸다. 그래서, 이들 수치는 패턴화된 웨이퍼에서 여러 필름 유형을 포함하는 웨이퍼의 필름의 피크와 밸리에서의 분리를 측정하기 때문에, 이들 수치의 낮은 값(Å 단위)이 바람직하다. 수치가 낮을수록, 피크(peak)와 골(trough) 사이에 존재하는 간격이 줄어들며, 이는 반도체 제조에서 CMP 공정 단계의 전반적인 목표인 웨이퍼 표면이 더 평평해지는 것을 의미한다. 이상적으로는, 0의 디싱 및 부식 값이 바람직하다(완전하게 평평한 웨이퍼 표면을 의미함). 그러나, 통상적으로는, 이러한 수치는 일반적으로 실제 디바이스/제품 패턴화된 웨이퍼 상에서 수백 또는 수천 Å이다. 따라서, 표 9에 나타낸 데이터는, 연마 조성물이 매우 낮은 디싱 및 부식 값을 제공하고, 이에 따라 패턴화된 웨이퍼의 매우 양호한 토포그래피를 제공함에 있어서 독특하고/탁월한 성능을 제공함을 나타낸다. 표 9에서 알 수 있는 바와 같이, 산화규소 디싱은 35Å 정도로 낮고 375Å 정도로 높을 수 있다. 부식 수치는 30Å 정도로 낮고 74Å 정도로 높기 때문에, SiN 부식은 디싱보다 훨씬 낫다. 또한, 이들은 대표적인 실시예이며, 이러한 디싱 및 부식 수치는 본 실험에서 1000Å 정도로 높고 1Å 정도로 낮다는 것을 알았으며, 이는 본 발명의 목적에 여전히 만족스럽고 반도체 제조사가 수용할 수 있다.
평탄화 효율(PE)에 대해서는, 수치가 높을수록 결과가 우수하다. 이상적으로, 100%의 PE는 그 값이 전체 웨이퍼가 평탄화되고 평평하다는 것, 즉 피크와 밸리 사이에 단차가 없음을 의미하기 때문에 바람직하다. 표 9의 데이터로부터, PE는 14%의 낮은 수치부터 74%까지 다양하다는 것을 알 수 있다. 따라서, 이들 연마 조성물은 패턴화된 웨이퍼 상에 양호한 평탄화 효율을 제공한다.
또한, 표 9에 제시된 데이터는 본원에 제시된 연마 조성물이 시판되는 상업적으로 입수 가능한 세리아계 STI 연마 조성물의 산화물 디싱, 질화규소 부식 및 평탄화 효율을 초과한다는 것을 보여준다.
실시예 10: 연마 후 패턴화된 웨이퍼 결함의 입증
이 실시예에서, 실시예 8 및 9에서 사용된 것과 유사한 패턴화된 웨이퍼의 결함을 시판중인 세리아계 STI 제형 및 실시예 8에 기술된 조성물 8A(질화물 제거율 감소제를 함유하는 실리카계 연마 조성물임)를 사용하여 KLA-AIT XUV 결함 카운터 도구에서 측정하였다. 조성물 8A를 사용하여 연마된 웨이퍼에 대한 웨이퍼 맵이 도 3에 제시되어 있다. 시판중인 세리아계 STI 연마 조성물을 사용하여 연마된 웨이퍼에 대한 웨이퍼 맵이 도 4에 제시되어 있다.
도 4에 의해 입증된 바와 같이, 세리아계 제형은 연마재의 상대적인 경도 및 크기로 인해 웨이퍼 전체에 걸친 많은 결함(총 결함 개수는 10,000개를 초과함)과 함께 심한 아크 스크래치(heavy arc scratching)를 일으키기 쉬웠다. 결함의 면밀한 조사는 많은 잔류물에 의해 수반되는 많은 매크로 및 미세 스크래치가 있었으며, 이 중 많은 것이 전체적인 디바이스 킬링 결함(device killing defect)으로 간주될 수 있음을 보여준다. 그러나 도 3은 연마제로서 고순도의 콜로이드성 실리카를 함유하는 연마 조성물 8A가 세리아계 조성물보다 훨씬 적은 스크래치를 갖는 것을 보여준다(도 4). 실제로, 실리카 연마 조성물은 거의 "결함이 없고(defect-free)" 깨끗한 표면을 보여준다. 총 결함 수는 크기가 적어도 90 nm인 결함에 대해서 대략 175개이다. 결함은 최종 디바이스 수율 및 판매 가능한 칩의 생산의 핵심이다. 도 4에 도시된 패턴화된 웨이퍼에서는, 패턴화된 웨이퍼당 1000개의 다이(die)(각 정사각형)가 있다고 가정한다. 결함이 있는 각각의 다이는 결함이 디바이스 킬러 결함일 경우, 판매할 수 없는 것으로 판명될 수 있다. 따라서, 세리아계 연마 조성물은 다량의 결함을 나타내므로, 웨이퍼당 판매 가능한 칩의 수율은 더 낮을 것이다. 이와 반대로, 본 발명의 연마 조성물에 있어서, 결함은 상당히 더 적어서 웨이퍼당 판매 가능한 칩의 수율이 현저히 높다.
따라서, 본 발명의 연마 조성물을 사용함으로써 얻어지는 낮은 결함은 이들의 수익의 상한선과 하한선을 증가시키기 때문에 반도체 회사에 매우 매력적이다. 기술적인 관점에서, 세리아 연마제는 성질이 무기성이며(예를 들어, 세륨 란탄족 금속계 산화물), 일반적으로 경질이고 실리카 연마제보다 크기가 더 커서 웨이퍼 표면 위에 다량의 스크래치 및 결함을 제공하기 쉽다. 이와 반대로, 콜로이드성 실리카 연마제는 성질이 유기성이며(규소 비금속계 산화물이며 콜로이드성 분산 형태임) 일반적으로 연질이므로, 연마 중에 스크래치 또는 결함을 발생시키지 않는다.
당업자는 질화규소에 대한 산화규소의 만족할만한 제거 선택성을 갖는 실리카계 STI 연마 조성물을 개발할 수는 없었다. 본원에 개시된 바와 같이, 본 발명자들은 실리카계 STI 연마 조성물을 업계에 공급할 수 있는 실리카와 질화규소 제거율 감소제의 시너지 효과의 조합을 발견하였다. 또한, 본 명세서에 기술된 발명은 실리카 이외의 연마제(알루미나, 티타니아 등)에도 적용할 수 있다.
본 발명은 본원에 설명된 실시예에 관하여 기술되었지만, 첨부된 청구범위에 정의된 바와 같은 본 발명의 사상과 범위를 벗어나지 않으면서 다른 수정 및 변형이 가능한 것으로 이해된다.

Claims (20)

  1. 연마 조성물(polishing composition)로서,
    적어도 하나의 연마제;
    적어도 하나의 질화물 제거율 감소제(nitride removal rate reducing agent)로서
    C12 내지 C40 탄화수소기를 포함하는 소수성 부분; 및
    설피나이트기(sulfinite group), 설페이트기, 카르복실레이트기, 포스페이트기 및 포스포네이트기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 포함하는 친수성 부분
    을 포함하며, 여기에서 소수성 부분과 친수성 부분은 0개 내지 10개의 알킬렌 산화물 기에 의해 분리되는 것인 적어도 하나의 질화물 제거율 감소제;
    산 또는 염기; 및

    을 포함하며, 여기에서 연마 조성물은 염 및 산화제를 포함하지 않고, 연마 조성물은 2 내지 6.5의 pH를 갖는 연마 조성물.
  2. 제1항에 있어서,
    적어도 하나의 디싱 감소제(dishing reducing agent)를 더 포함하고;
    여기에서 적어도 하나의 디싱 감소제는 하이드록실, 설페이트, 포스포네이트, 포스페이트, 설포네이트, 아민, 나이트레이트, 나이트라이트, 카르복실레이트 및 카보네이트 기로 이루어지는 군으로부터 선택되는 적어도 하나의 기를 포함하는 화합물인 것인 연마 조성물.
  3. 제2항에 있어서,
    적어도 하나의 디싱 감소제는 다당류 및 치환된 다당류로 이루어지는 군으로부터 선택되는 적어도 하나인 것인 연마 조성물.
  4. 제2항에 있어서,
    적어도 하나의 디싱 감소제는 카라기난, 잔탄 검, 하이드록시프로필 셀룰로오스, 메틸 셀룰로오스, 에틸 셀룰로오스, 하이드록시프로필 메틸 셀룰로오스 또는 카르복시메틸 셀룰로오스를 포함하는 것인 연마 조성물.
  5. 제1항에 있어서,
    소수성 부분은 C12 내지 C32 탄화수소기를 포함하는 것인 연마 조성물.
  6. 제1항에 있어서,
    소수성 부분은 C16 내지 C22 탄화수소기를 포함하는 것인 연마 조성물.
  7. 제1항에 있어서,
    친수성 부분은 포스페이트기 또는 포스포네이트기를 포함하는 것인 연마 조성물.
  8. 제1항에 있어서,
    적어도 하나의 질화물 제거율 감소제는 라우릴 포스페이트, 미리스틸 포스페이트, 스테아릴 포스페이트, 옥타데실포스폰산, 올레일 포스페이트, 베헤닐 포스페이트, 옥타데실 설페이트, 라세릴 포스페이트, 올레쓰-3-포스페이트 및 올레쓰-10-포스페이트로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
  9. 제1항에 있어서,
    적어도 하나의 질화물 제거율 감소제는 소수성 부분과 친수성 부분을 분리하는 0개(zero)의 알킬렌 산화물 기를 갖는 것인 연마 조성물.
  10. 제2항에 있어서,
    적어도 하나의 질화물 제거율 감소제와 적어도 하나의 디싱 감소제는 화학적으로 서로 다른 것인 연마 조성물.
  11. 제1항에 있어서,
    연마 조성물은 적어도 3:1의 산화규소에 대한 제거율 대 질화규소에 대한 제거율의 비를 갖는 것인 연마 조성물.
  12. 제1항에 있어서,
    연마 조성물은 적어도 100:1의 산화규소에 대한 제거율 대 질화규소에 대한 제거율의 비를 갖는 것인 연마 조성물.
  13. 제1항에 있어서,
    적어도 하나의 연마제는 양이온성 연마제, 중성인 연마제 및 음이온성 연마제로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
  14. 제1항에 있어서,
    적어도 하나의 연마제는 알루미나, 실리카, 티타니아, 세리아(ceria), 지르코니아, 이들의 공동 형성 생성물(co-formed products), 코팅된 연마제, 표면 변성 연마제 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
  15. 제1항에 있어서,
    산은 포름산, 아세트산, 말론산, 시트르산, 프로피온산, 말산, 아디프산, 숙신산, 락트산, 옥살산, 하이드록시에틸리덴 디포스폰산, 2-포스포노-1,2,4-부탄 트리카르복시산, 아미노트리메틸렌 포스폰산, 헥사메틸렌디아민 테트라(메틸렌포스폰산), 비스(헥사메틸렌)트리아민 포스폰산, 아미노 아세트산, 과산화 아세트산, 페녹시 아세트산, 글리신, 바이신, 디글리콜산, 글리세르산, 트리신, 알라닌, 히스티딘, 발린, 페닐알라닌, 프롤린, 글루타민, 아스파르트산, 글루탐산, 아르기닌, 라이신, 티로신, 벤조산, 질산, 황산, 아황산, 인산, 포스폰산, 염산, 과요오드산 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
  16. 제1항에 있어서,
    염기는 수산화 칼륨, 수산화 나트륨, 수산화 세슘, 수산화 암모늄, 트리에탄올 아민, 디에탄올 아민, 모노에탄올 아민, 수산화 테트라부틸 암모늄, 수산화 테트라메틸 암모늄, 수산화 리튬, 이미다졸, 트리아졸, 아미노트리아졸, 테트라졸, 벤조트리아졸, 톨릴트리아졸, 피라졸, 이소티아졸 및 이들의 혼합물로 이루어지는 군으로부터 선택되는 것인 연마 조성물.
  17. 제1항에 있어서,
    연마 조성물은 음이온성 중합체를 포함하지 않는 연마 조성물.
  18. 기판의 표면상에 적어도 질화규소 및 적어도 산화규소를 갖는 기판에 제1항 내지 제17항 중 어느 한 항의 연마 조성물을 도포하는 단계; 및
    패드(pad)를 기판의 표면과 접촉시키고 기판에 대해 패드를 이동시키는 단계
    를 포함하는 연마 방법.
  19. 제18항에 있어서,
    질화규소와 산화규소 중 적어도 하나는 탄소, 질소, 산소 및 수소로 이루어지는 군으로부터 선택되는 적어도 하나의 도펀트(dopant)로 도핑되는 것인 연마 방법.
  20. 제18항에 있어서,
    기판으로부터 반도체 디바이스를 형성하는 단계를 더 포함하는 것인 연마 방법.
KR1020230017155A 2018-12-19 2023-02-09 연마 조성물 및 이를 사용하는 방법 KR102639156B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020240022574A KR20240025577A (ko) 2018-12-19 2024-02-16 연마 조성물 및 이를 사용하는 방법

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862781648P 2018-12-19 2018-12-19
US62/781,648 2018-12-19
US16/356,669 US10759970B2 (en) 2018-12-19 2019-03-18 Polishing compositions and methods of using same
US16/356,669 2019-03-18
KR1020210121586A KR102499874B1 (ko) 2018-12-19 2021-09-13 연마 조성물 및 이를 사용하는 방법

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020210121586A Division KR102499874B1 (ko) 2018-12-19 2021-09-13 연마 조성물 및 이를 사용하는 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020240022574A Division KR20240025577A (ko) 2018-12-19 2024-02-16 연마 조성물 및 이를 사용하는 방법

Publications (2)

Publication Number Publication Date
KR20230022939A KR20230022939A (ko) 2023-02-16
KR102639156B1 true KR102639156B1 (ko) 2024-02-20

Family

ID=66625751

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020190054845A KR102303864B1 (ko) 2018-12-19 2019-05-10 연마 조성물 및 이를 사용하는 방법
KR1020210121586A KR102499874B1 (ko) 2018-12-19 2021-09-13 연마 조성물 및 이를 사용하는 방법
KR1020230017155A KR102639156B1 (ko) 2018-12-19 2023-02-09 연마 조성물 및 이를 사용하는 방법
KR1020240022574A KR20240025577A (ko) 2018-12-19 2024-02-16 연마 조성물 및 이를 사용하는 방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020190054845A KR102303864B1 (ko) 2018-12-19 2019-05-10 연마 조성물 및 이를 사용하는 방법
KR1020210121586A KR102499874B1 (ko) 2018-12-19 2021-09-13 연마 조성물 및 이를 사용하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020240022574A KR20240025577A (ko) 2018-12-19 2024-02-16 연마 조성물 및 이를 사용하는 방법

Country Status (8)

Country Link
US (2) US10759970B2 (ko)
EP (1) EP3670621B1 (ko)
JP (1) JP2022514788A (ko)
KR (4) KR102303864B1 (ko)
CN (1) CN111334194A (ko)
SG (1) SG11202106584QA (ko)
TW (2) TWI758069B (ko)
WO (1) WO2020131153A1 (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10763119B2 (en) 2018-12-19 2020-09-01 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
US11680186B2 (en) * 2020-11-06 2023-06-20 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using same
US20220306899A1 (en) * 2021-03-26 2022-09-29 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of using the same
CN113913115B (zh) * 2021-10-20 2022-09-06 博力思(天津)电子科技有限公司 一种硅通孔阻挡层碱性抛光液

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001507739A (ja) 1996-12-30 2001-06-12 キャボット マイクロエレクトロニクス コーポレイション 酸化物cmpのための組成物
JP2002231666A (ja) 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US20060068589A1 (en) 2004-09-29 2006-03-30 Jinru Bian Selective barrier slurry for chemical mechanical polishing
JP2013045944A (ja) 2011-08-25 2013-03-04 Hitachi Chemical Co Ltd 基板の研磨方法
US20150221521A1 (en) 2014-02-05 2015-08-06 Cabot Microelectronics Corporation Cmp method for suppression of titanium nitride and titanium/titanium nitride removal
WO2017163847A1 (ja) 2016-03-25 2017-09-28 株式会社フジミインコーポレーテッド 研磨用組成物ならびに研磨方法および半導体基板の製造方法
US10119048B1 (en) 2017-07-31 2018-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-abrasive CMP slurry compositions with tunable selectivity

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5738800A (en) 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
KR100464748B1 (ko) * 1996-09-27 2005-01-05 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 복합재의 연마용 조성물 및 연마 방법
FR2785614B1 (fr) * 1998-11-09 2001-01-26 Clariant France Sa Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium
US6455417B1 (en) 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US20040123528A1 (en) * 2002-12-30 2004-07-01 Jung Jong Goo CMP slurry for semiconductor device, and method for manufacturing semiconductor device using the same
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
TWI288046B (en) 2003-11-14 2007-10-11 Showa Denko Kk Polishing composition and polishing method
JP4316406B2 (ja) 2004-03-22 2009-08-19 株式会社フジミインコーポレーテッド 研磨用組成物
JP2006179678A (ja) * 2004-12-22 2006-07-06 Hitachi Chem Co Ltd 半導体絶縁膜用cmp研磨剤及び基板の研磨方法
CN101443890A (zh) 2006-05-16 2009-05-27 昭和电工株式会社 研磨组合物的制造方法
KR101256551B1 (ko) * 2008-03-06 2013-04-19 주식회사 엘지화학 Cmp 슬러리 및 이를 이용한 연마 방법
JP2012109287A (ja) * 2009-03-13 2012-06-07 Asahi Glass Co Ltd 半導体用研磨剤、その製造方法及び研磨方法
US8728341B2 (en) * 2009-10-22 2014-05-20 Hitachi Chemical Company, Ltd. Polishing agent, concentrated one-pack type polishing agent, two-pack type polishing agent and method for polishing substrate
US8491808B2 (en) * 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride
US8273142B2 (en) * 2010-09-02 2012-09-25 Cabot Microelectronics Corporation Silicon polishing compositions with high rate and low defectivity
CN102559058B (zh) * 2010-12-21 2015-05-27 安集微电子(上海)有限公司 一种化学机械抛光液
DE102011089221A1 (de) 2011-12-20 2013-06-20 Henkel Ag & Co. Kgaa Färbemittel mit direktziehenden Farbstoffen und Phospat-Tensiden
DE112013005264T5 (de) 2012-11-02 2015-09-24 Fujimi Incorporated Polierzusammensetzung
CN103834305B (zh) * 2012-11-22 2017-08-29 安集微电子(上海)有限公司 一种化学机械抛光液
JP2014130957A (ja) * 2012-12-28 2014-07-10 Kao Corp 半導体基板用研磨液組成物
EP3209815B1 (en) 2014-10-21 2021-12-29 CMC Materials, Inc. Corrosion inhibitors and related compositions and methods
JP6538368B2 (ja) 2015-02-24 2019-07-03 株式会社フジミインコーポレーテッド 研磨用組成物及び研磨方法
CN107406752B (zh) 2015-03-10 2020-05-08 日立化成株式会社 研磨剂、研磨剂用储存液和研磨方法
JP6582567B2 (ja) * 2015-06-03 2019-10-02 日立化成株式会社 スラリー及びその製造方法、並びに、研磨方法
KR102463863B1 (ko) * 2015-07-20 2022-11-04 삼성전자주식회사 연마용 조성물 및 이를 이용한 반도체 장치의 제조 방법
US10066126B2 (en) 2016-01-06 2018-09-04 Cabot Microelectronics Corporation Tungsten processing slurry with catalyst

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001507739A (ja) 1996-12-30 2001-06-12 キャボット マイクロエレクトロニクス コーポレイション 酸化物cmpのための組成物
JP2002231666A (ja) 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
US20060068589A1 (en) 2004-09-29 2006-03-30 Jinru Bian Selective barrier slurry for chemical mechanical polishing
JP2013045944A (ja) 2011-08-25 2013-03-04 Hitachi Chemical Co Ltd 基板の研磨方法
US20150221521A1 (en) 2014-02-05 2015-08-06 Cabot Microelectronics Corporation Cmp method for suppression of titanium nitride and titanium/titanium nitride removal
WO2017163847A1 (ja) 2016-03-25 2017-09-28 株式会社フジミインコーポレーテッド 研磨用組成物ならびに研磨方法および半導体基板の製造方法
US10119048B1 (en) 2017-07-31 2018-11-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Low-abrasive CMP slurry compositions with tunable selectivity

Also Published As

Publication number Publication date
US20200339837A1 (en) 2020-10-29
KR20210117233A (ko) 2021-09-28
SG11202106584QA (en) 2021-07-29
TWI719463B (zh) 2021-02-21
US20200199409A1 (en) 2020-06-25
EP3670621B1 (en) 2023-10-11
KR20240025577A (ko) 2024-02-27
WO2020131153A1 (en) 2020-06-25
US10759970B2 (en) 2020-09-01
KR102499874B1 (ko) 2023-02-13
EP3670621A1 (en) 2020-06-24
TW202116970A (zh) 2021-05-01
JP2022514788A (ja) 2022-02-15
TWI758069B (zh) 2022-03-11
KR20200077372A (ko) 2020-06-30
CN111334194A (zh) 2020-06-26
TW202024285A (zh) 2020-07-01
TW202223060A (zh) 2022-06-16
KR102303864B1 (ko) 2021-09-17
KR20230022939A (ko) 2023-02-16

Similar Documents

Publication Publication Date Title
KR102303865B1 (ko) 연마 조성물 및 이를 사용하는 방법
KR102639156B1 (ko) 연마 조성물 및 이를 사용하는 방법
US20120070989A1 (en) Stabilized, Concentratable Chemical Mechanical Polishing Composition And Method Of Polishing A Substrate
US20230265313A1 (en) Polishing Compositions and Methods of Using Same
US8232208B2 (en) Stabilized chemical mechanical polishing composition and method of polishing a substrate
TWI836341B (zh) 研磨組成物及其使用方法
TWI836290B (zh) 拋光組合物及其使用方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant