TWI719463B - 研磨組成物及其使用方法 - Google Patents
研磨組成物及其使用方法 Download PDFInfo
- Publication number
- TWI719463B TWI719463B TW108114931A TW108114931A TWI719463B TW I719463 B TWI719463 B TW I719463B TW 108114931 A TW108114931 A TW 108114931A TW 108114931 A TW108114931 A TW 108114931A TW I719463 B TWI719463 B TW I719463B
- Authority
- TW
- Taiwan
- Prior art keywords
- acid
- group
- removal rate
- composition
- abrasive
- Prior art date
Links
- 239000000203 mixture Substances 0.000 title claims abstract description 158
- 238000005498 polishing Methods 0.000 title claims abstract description 88
- 238000000034 method Methods 0.000 title claims description 38
- 239000003638 chemical reducing agent Substances 0.000 claims abstract description 70
- 150000004767 nitrides Chemical class 0.000 claims abstract description 55
- 230000002209 hydrophobic effect Effects 0.000 claims abstract description 18
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims abstract description 17
- 239000002253 acid Substances 0.000 claims abstract description 15
- ABLZXFCXXLZCGV-UHFFFAOYSA-N Phosphorous acid Chemical group OP(O)=O ABLZXFCXXLZCGV-UHFFFAOYSA-N 0.000 claims abstract description 8
- 125000002947 alkylene group Chemical group 0.000 claims abstract description 8
- QAOWNCQODCNURD-UHFFFAOYSA-L sulfate group Chemical group S(=O)(=O)([O-])[O-] QAOWNCQODCNURD-UHFFFAOYSA-L 0.000 claims abstract description 5
- 150000007942 carboxylates Chemical group 0.000 claims abstract description 3
- 125000002467 phosphate group Chemical group [H]OP(=O)(O[H])O[*] 0.000 claims abstract description 3
- 125000001273 sulfonato group Chemical group [O-]S(*)(=O)=O 0.000 claims abstract description 3
- 125000001183 hydrocarbyl group Chemical group 0.000 claims abstract 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 163
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 124
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 124
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 94
- 239000003082 abrasive agent Substances 0.000 claims description 34
- 239000000377 silicon dioxide Substances 0.000 claims description 29
- 239000000758 substrate Substances 0.000 claims description 28
- 238000000227 grinding Methods 0.000 claims description 26
- 229910000420 cerium oxide Inorganic materials 0.000 claims description 20
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 claims description 20
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 16
- 235000012239 silicon dioxide Nutrition 0.000 claims description 16
- 150000002148 esters Chemical class 0.000 claims description 15
- 239000004065 semiconductor Substances 0.000 claims description 13
- -1 methylene phosphonic acid Chemical compound 0.000 claims description 12
- 125000000129 anionic group Chemical group 0.000 claims description 11
- KWYUFKZDYYNOTN-UHFFFAOYSA-M Potassium hydroxide Chemical compound [OH-].[K+] KWYUFKZDYYNOTN-UHFFFAOYSA-M 0.000 claims description 9
- 125000004185 ester group Chemical group 0.000 claims description 9
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 8
- DHMQDGOQFOQNFH-UHFFFAOYSA-N Glycine Chemical compound NCC(O)=O DHMQDGOQFOQNFH-UHFFFAOYSA-N 0.000 claims description 8
- OFOBLEOULBTSOW-UHFFFAOYSA-N Malonic acid Chemical compound OC(=O)CC(O)=O OFOBLEOULBTSOW-UHFFFAOYSA-N 0.000 claims description 8
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 claims description 8
- 125000002091 cationic group Chemical group 0.000 claims description 8
- 230000007935 neutral effect Effects 0.000 claims description 8
- 229910052757 nitrogen Inorganic materials 0.000 claims description 8
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 claims description 6
- WMFOQBRAJBCJND-UHFFFAOYSA-M Lithium hydroxide Chemical compound [Li+].[OH-] WMFOQBRAJBCJND-UHFFFAOYSA-M 0.000 claims description 6
- MUBZPKHOEPUJKR-UHFFFAOYSA-N Oxalic acid Chemical compound OC(=O)C(O)=O MUBZPKHOEPUJKR-UHFFFAOYSA-N 0.000 claims description 6
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 claims description 6
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 claims description 6
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 claims description 6
- 229910052799 carbon Inorganic materials 0.000 claims description 6
- KRKNYBCHXYNGOX-UHFFFAOYSA-N citric acid Chemical compound OC(=O)CC(O)(C(O)=O)CC(O)=O KRKNYBCHXYNGOX-UHFFFAOYSA-N 0.000 claims description 6
- RAXXELZNTBOGNW-UHFFFAOYSA-N imidazole Natural products C1=CNC=N1 RAXXELZNTBOGNW-UHFFFAOYSA-N 0.000 claims description 6
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 claims description 5
- PWQNOLAKMCLNJI-KTKRTIGZSA-N 2-[2-[2-[(z)-octadec-9-enoxy]ethoxy]ethoxy]ethyl dihydrogen phosphate Chemical compound CCCCCCCC\C=C/CCCCCCCCOCCOCCOCCOP(O)(O)=O PWQNOLAKMCLNJI-KTKRTIGZSA-N 0.000 claims description 4
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims description 4
- ZDXPYRJPNDTMRX-VKHMYHEASA-N L-glutamine Chemical compound OC(=O)[C@@H](N)CCC(N)=O ZDXPYRJPNDTMRX-VKHMYHEASA-N 0.000 claims description 4
- KFSLWBXXFJQRDL-UHFFFAOYSA-N Peracetic acid Chemical compound CC(=O)OO KFSLWBXXFJQRDL-UHFFFAOYSA-N 0.000 claims description 4
- WNLRTRBMVRJNCN-UHFFFAOYSA-N adipic acid Chemical compound OC(=O)CCCCC(O)=O WNLRTRBMVRJNCN-UHFFFAOYSA-N 0.000 claims description 4
- WPYMKLBDIGXBTP-UHFFFAOYSA-N benzoic acid Chemical compound OC(=O)C1=CC=CC=C1 WPYMKLBDIGXBTP-UHFFFAOYSA-N 0.000 claims description 4
- 150000001875 compounds Chemical class 0.000 claims description 4
- XBDQKXXYIPTUBI-UHFFFAOYSA-N dimethylselenoniopropionate Natural products CCC(O)=O XBDQKXXYIPTUBI-UHFFFAOYSA-N 0.000 claims description 4
- ZDXPYRJPNDTMRX-UHFFFAOYSA-N glutamine Natural products OC(=O)C(N)CCC(N)=O ZDXPYRJPNDTMRX-UHFFFAOYSA-N 0.000 claims description 4
- 150000004676 glycans Chemical class 0.000 claims description 4
- 229960002449 glycine Drugs 0.000 claims description 4
- NAQMVNRVTILPCV-UHFFFAOYSA-N hexane-1,6-diamine Chemical compound NCCCCCCN NAQMVNRVTILPCV-UHFFFAOYSA-N 0.000 claims description 4
- JVTAAEKCZFNVCJ-UHFFFAOYSA-N lactic acid Chemical compound CC(O)C(O)=O JVTAAEKCZFNVCJ-UHFFFAOYSA-N 0.000 claims description 4
- BDAGIHXWWSANSR-UHFFFAOYSA-N methanoic acid Natural products OC=O BDAGIHXWWSANSR-UHFFFAOYSA-N 0.000 claims description 4
- 229940046947 oleth-10 phosphate Drugs 0.000 claims description 4
- 229940093440 oleth-3-phosphate Drugs 0.000 claims description 4
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 4
- LCPDWSOZIOUXRV-UHFFFAOYSA-N phenoxyacetic acid Chemical compound OC(=O)COC1=CC=CC=C1 LCPDWSOZIOUXRV-UHFFFAOYSA-N 0.000 claims description 4
- 229920001282 polysaccharide Polymers 0.000 claims description 4
- 239000005017 polysaccharide Substances 0.000 claims description 4
- SCVFZCLFOSHCOH-UHFFFAOYSA-M potassium acetate Chemical compound [K+].CC([O-])=O SCVFZCLFOSHCOH-UHFFFAOYSA-M 0.000 claims description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 claims description 4
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 claims description 4
- 229910019142 PO4 Inorganic materials 0.000 claims description 3
- MEESPVWIOBCLJW-KTKRTIGZSA-N [(z)-octadec-9-enyl] dihydrogen phosphate Chemical compound CCCCCCCC\C=C/CCCCCCCCOP(O)(O)=O MEESPVWIOBCLJW-KTKRTIGZSA-N 0.000 claims description 3
- 229910000147 aluminium phosphate Inorganic materials 0.000 claims description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 3
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 claims description 3
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 claims description 3
- 239000002019 doping agent Substances 0.000 claims description 3
- 150000004712 monophosphates Chemical group 0.000 claims description 3
- UHGIMQLJWRAPLT-UHFFFAOYSA-N octadecyl dihydrogen phosphate Chemical compound CCCCCCCCCCCCCCCCCCOP(O)(O)=O UHGIMQLJWRAPLT-UHFFFAOYSA-N 0.000 claims description 3
- 229910052760 oxygen Inorganic materials 0.000 claims description 3
- 239000001301 oxygen Substances 0.000 claims description 3
- UEZVMMHDMIWARA-UHFFFAOYSA-M phosphonate Chemical compound [O-]P(=O)=O UEZVMMHDMIWARA-UHFFFAOYSA-M 0.000 claims description 3
- KRIXEEBVZRZHOS-UHFFFAOYSA-N tetradecyl dihydrogen phosphate Chemical compound CCCCCCCCCCCCCCOP(O)(O)=O KRIXEEBVZRZHOS-UHFFFAOYSA-N 0.000 claims description 3
- VPTUPAVOBUEXMZ-UHFFFAOYSA-N (1-hydroxy-2-phosphonoethyl)phosphonic acid Chemical compound OP(=O)(O)C(O)CP(O)(O)=O VPTUPAVOBUEXMZ-UHFFFAOYSA-N 0.000 claims description 2
- LNAZSHAWQACDHT-XIYTZBAFSA-N (2r,3r,4s,5r,6s)-4,5-dimethoxy-2-(methoxymethyl)-3-[(2s,3r,4s,5r,6r)-3,4,5-trimethoxy-6-(methoxymethyl)oxan-2-yl]oxy-6-[(2r,3r,4s,5r,6r)-4,5,6-trimethoxy-2-(methoxymethyl)oxan-3-yl]oxyoxane Chemical compound CO[C@@H]1[C@@H](OC)[C@H](OC)[C@@H](COC)O[C@H]1O[C@H]1[C@H](OC)[C@@H](OC)[C@H](O[C@H]2[C@@H]([C@@H](OC)[C@H](OC)O[C@@H]2COC)OC)O[C@@H]1COC LNAZSHAWQACDHT-XIYTZBAFSA-N 0.000 claims description 2
- BJEPYKJPYRNKOW-REOHCLBHSA-N (S)-malic acid Chemical compound OC(=O)[C@@H](O)CC(O)=O BJEPYKJPYRNKOW-REOHCLBHSA-N 0.000 claims description 2
- RBNPOMFGQQGHHO-UHFFFAOYSA-N -2,3-Dihydroxypropanoic acid Natural products OCC(O)C(O)=O RBNPOMFGQQGHHO-UHFFFAOYSA-N 0.000 claims description 2
- HZAXFHJVJLSVMW-UHFFFAOYSA-N 2-Aminoethan-1-ol Chemical compound NCCO HZAXFHJVJLSVMW-UHFFFAOYSA-N 0.000 claims description 2
- MFGOFGRYDNHJTA-UHFFFAOYSA-N 2-amino-1-(2-fluorophenyl)ethanol Chemical compound NCC(O)C1=CC=CC=C1F MFGOFGRYDNHJTA-UHFFFAOYSA-N 0.000 claims description 2
- LOTVQXNRIAEYCG-UHFFFAOYSA-N 3-hydroxy-2-(hydroxymethyl)-2-[hydroxymethyl(methyl)amino]propanoic acid Chemical compound OCN(C)C(CO)(CO)C(O)=O LOTVQXNRIAEYCG-UHFFFAOYSA-N 0.000 claims description 2
- OSWFIVFLDKOXQC-UHFFFAOYSA-N 4-(3-methoxyphenyl)aniline Chemical compound COC1=CC=CC(C=2C=CC(N)=CC=2)=C1 OSWFIVFLDKOXQC-UHFFFAOYSA-N 0.000 claims description 2
- CMGDVUCDZOBDNL-UHFFFAOYSA-N 4-methyl-2h-benzotriazole Chemical compound CC1=CC=CC2=NNN=C12 CMGDVUCDZOBDNL-UHFFFAOYSA-N 0.000 claims description 2
- KLSJWNVTNUYHDU-UHFFFAOYSA-N Amitrole Chemical compound NC1=NC=NN1 KLSJWNVTNUYHDU-UHFFFAOYSA-N 0.000 claims description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 claims description 2
- 239000004475 Arginine Substances 0.000 claims description 2
- 239000005711 Benzoic acid Substances 0.000 claims description 2
- 229920002134 Carboxymethyl cellulose Polymers 0.000 claims description 2
- RBNPOMFGQQGHHO-UWTATZPHSA-N D-glyceric acid Chemical compound OC[C@@H](O)C(O)=O RBNPOMFGQQGHHO-UWTATZPHSA-N 0.000 claims description 2
- QEVGZEDELICMKH-UHFFFAOYSA-N Diglycolic acid Chemical compound OC(=O)COCC(O)=O QEVGZEDELICMKH-UHFFFAOYSA-N 0.000 claims description 2
- 239000001856 Ethyl cellulose Substances 0.000 claims description 2
- ZZSNKZQZMQGXPY-UHFFFAOYSA-N Ethyl cellulose Chemical compound CCOCC1OC(OC)C(OCC)C(OCC)C1OC1C(O)C(O)C(OC)C(CO)O1 ZZSNKZQZMQGXPY-UHFFFAOYSA-N 0.000 claims description 2
- 239000004471 Glycine Substances 0.000 claims description 2
- 229920002153 Hydroxypropyl cellulose Polymers 0.000 claims description 2
- ONIBWKKTOPOVIA-BYPYZUCNSA-N L-Proline Chemical compound OC(=O)[C@@H]1CCCN1 ONIBWKKTOPOVIA-BYPYZUCNSA-N 0.000 claims description 2
- QNAYBMKLOCPYGJ-REOHCLBHSA-N L-alanine Chemical compound C[C@H](N)C(O)=O QNAYBMKLOCPYGJ-REOHCLBHSA-N 0.000 claims description 2
- ODKSFYDXXFIFQN-BYPYZUCNSA-P L-argininium(2+) Chemical compound NC(=[NH2+])NCCC[C@H]([NH3+])C(O)=O ODKSFYDXXFIFQN-BYPYZUCNSA-P 0.000 claims description 2
- CKLJMWTZIZZHCS-REOHCLBHSA-N L-aspartic acid Chemical compound OC(=O)[C@@H](N)CC(O)=O CKLJMWTZIZZHCS-REOHCLBHSA-N 0.000 claims description 2
- HNDVDQJCIGZPNO-YFKPBYRVSA-N L-histidine Chemical compound OC(=O)[C@@H](N)CC1=CN=CN1 HNDVDQJCIGZPNO-YFKPBYRVSA-N 0.000 claims description 2
- KDXKERNSBIXSRK-YFKPBYRVSA-N L-lysine Chemical compound NCCCC[C@H](N)C(O)=O KDXKERNSBIXSRK-YFKPBYRVSA-N 0.000 claims description 2
- COLNVLDHVKWLRT-QMMMGPOBSA-N L-phenylalanine Chemical compound OC(=O)[C@@H](N)CC1=CC=CC=C1 COLNVLDHVKWLRT-QMMMGPOBSA-N 0.000 claims description 2
- OUYCCCASQSFEME-QMMMGPOBSA-N L-tyrosine Chemical compound OC(=O)[C@@H](N)CC1=CC=C(O)C=C1 OUYCCCASQSFEME-QMMMGPOBSA-N 0.000 claims description 2
- KZSNJWFQEVHDMF-BYPYZUCNSA-N L-valine Chemical compound CC(C)[C@H](N)C(O)=O KZSNJWFQEVHDMF-BYPYZUCNSA-N 0.000 claims description 2
- KDXKERNSBIXSRK-UHFFFAOYSA-N Lysine Natural products NCCCCC(N)C(O)=O KDXKERNSBIXSRK-UHFFFAOYSA-N 0.000 claims description 2
- 239000004472 Lysine Substances 0.000 claims description 2
- FSVCELGFZIQNCK-UHFFFAOYSA-N N,N-bis(2-hydroxyethyl)glycine Chemical compound OCCN(CCO)CC(O)=O FSVCELGFZIQNCK-UHFFFAOYSA-N 0.000 claims description 2
- 229910002651 NO3 Inorganic materials 0.000 claims description 2
- NHNBFGGVMKEFGY-UHFFFAOYSA-N Nitrate Chemical compound [O-][N+]([O-])=O NHNBFGGVMKEFGY-UHFFFAOYSA-N 0.000 claims description 2
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 claims description 2
- IOVCWXUNBOPUCH-UHFFFAOYSA-M Nitrite anion Chemical compound [O-]N=O IOVCWXUNBOPUCH-UHFFFAOYSA-M 0.000 claims description 2
- ONIBWKKTOPOVIA-UHFFFAOYSA-N Proline Natural products OC(=O)C1CCCN1 ONIBWKKTOPOVIA-UHFFFAOYSA-N 0.000 claims description 2
- WTKZEGDFNFYCGP-UHFFFAOYSA-N Pyrazole Chemical compound C=1C=NNC=1 WTKZEGDFNFYCGP-UHFFFAOYSA-N 0.000 claims description 2
- KDYFGRWQOYBRFD-UHFFFAOYSA-N Succinic acid Natural products OC(=O)CCC(O)=O KDYFGRWQOYBRFD-UHFFFAOYSA-N 0.000 claims description 2
- HVWGGPRWKSHASF-UHFFFAOYSA-N Sulfuric acid, monooctadecyl ester Chemical compound CCCCCCCCCCCCCCCCCCOS(O)(=O)=O HVWGGPRWKSHASF-UHFFFAOYSA-N 0.000 claims description 2
- SEQKRHFRPICQDD-UHFFFAOYSA-N Tricine Natural products OCC(CO)(CO)[NH2+]CC([O-])=O SEQKRHFRPICQDD-UHFFFAOYSA-N 0.000 claims description 2
- GSEJCLTVZPLZKY-UHFFFAOYSA-N Triethanolamine Chemical compound OCCN(CCO)CCO GSEJCLTVZPLZKY-UHFFFAOYSA-N 0.000 claims description 2
- KZSNJWFQEVHDMF-UHFFFAOYSA-N Valine Natural products CC(C)C(N)C(O)=O KZSNJWFQEVHDMF-UHFFFAOYSA-N 0.000 claims description 2
- YDONNITUKPKTIG-UHFFFAOYSA-N [Nitrilotris(methylene)]trisphosphonic acid Chemical compound OP(O)(=O)CN(CP(O)(O)=O)CP(O)(O)=O YDONNITUKPKTIG-UHFFFAOYSA-N 0.000 claims description 2
- MOAJNYOUYBFYKP-UHFFFAOYSA-N [PH4]C(CC(=O)O)(CCC(=O)O)C(=O)O Chemical compound [PH4]C(CC(=O)O)(CCC(=O)O)C(=O)O MOAJNYOUYBFYKP-UHFFFAOYSA-N 0.000 claims description 2
- 239000001361 adipic acid Substances 0.000 claims description 2
- 235000011037 adipic acid Nutrition 0.000 claims description 2
- 235000004279 alanine Nutrition 0.000 claims description 2
- BJEPYKJPYRNKOW-UHFFFAOYSA-N alpha-hydroxysuccinic acid Natural products OC(=O)C(O)CC(O)=O BJEPYKJPYRNKOW-UHFFFAOYSA-N 0.000 claims description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 claims description 2
- 150000001412 amines Chemical class 0.000 claims description 2
- 239000000908 ammonium hydroxide Substances 0.000 claims description 2
- ODKSFYDXXFIFQN-UHFFFAOYSA-N arginine Natural products OC(=O)C(N)CCCNC(N)=N ODKSFYDXXFIFQN-UHFFFAOYSA-N 0.000 claims description 2
- 235000003704 aspartic acid Nutrition 0.000 claims description 2
- 235000010233 benzoic acid Nutrition 0.000 claims description 2
- QRUDEWIWKLJBPS-UHFFFAOYSA-N benzotriazole Chemical compound C1=CC=C2N[N][N]C2=C1 QRUDEWIWKLJBPS-UHFFFAOYSA-N 0.000 claims description 2
- 239000012964 benzotriazole Substances 0.000 claims description 2
- OQFSQFPPLPISGP-UHFFFAOYSA-N beta-carboxyaspartic acid Natural products OC(=O)C(N)C(C(O)=O)C(O)=O OQFSQFPPLPISGP-UHFFFAOYSA-N 0.000 claims description 2
- 239000007998 bicine buffer Substances 0.000 claims description 2
- MRNZSTMRDWRNNR-UHFFFAOYSA-N bis(hexamethylene)triamine Chemical compound NCCCCCCNCCCCCCN MRNZSTMRDWRNNR-UHFFFAOYSA-N 0.000 claims description 2
- KDYFGRWQOYBRFD-NUQCWPJISA-N butanedioic acid Chemical compound O[14C](=O)CC[14C](O)=O KDYFGRWQOYBRFD-NUQCWPJISA-N 0.000 claims description 2
- HUCVOHYBFXVBRW-UHFFFAOYSA-M caesium hydroxide Inorganic materials [OH-].[Cs+] HUCVOHYBFXVBRW-UHFFFAOYSA-M 0.000 claims description 2
- 125000005587 carbonate group Chemical group 0.000 claims description 2
- 239000001768 carboxy methyl cellulose Substances 0.000 claims description 2
- 235000010948 carboxy methyl cellulose Nutrition 0.000 claims description 2
- 239000008112 carboxymethyl-cellulose Substances 0.000 claims description 2
- 239000000679 carrageenan Substances 0.000 claims description 2
- 235000010418 carrageenan Nutrition 0.000 claims description 2
- 229920001525 carrageenan Polymers 0.000 claims description 2
- 229940113118 carrageenan Drugs 0.000 claims description 2
- 235000015165 citric acid Nutrition 0.000 claims description 2
- ZBCBWPMODOFKDW-UHFFFAOYSA-N diethanolamine Chemical compound OCCNCCO ZBCBWPMODOFKDW-UHFFFAOYSA-N 0.000 claims description 2
- LNTZHXQMPUKVNX-UHFFFAOYSA-N docosyl dihydrogen phosphate Chemical compound CCCCCCCCCCCCCCCCCCCCCCOP(O)(O)=O LNTZHXQMPUKVNX-UHFFFAOYSA-N 0.000 claims description 2
- 235000019325 ethyl cellulose Nutrition 0.000 claims description 2
- 229920001249 ethyl cellulose Polymers 0.000 claims description 2
- NVVZQXQBYZPMLJ-UHFFFAOYSA-N formaldehyde;naphthalene-1-sulfonic acid Chemical compound O=C.C1=CC=C2C(S(=O)(=O)O)=CC=CC2=C1 NVVZQXQBYZPMLJ-UHFFFAOYSA-N 0.000 claims description 2
- 235000019253 formic acid Nutrition 0.000 claims description 2
- 235000013905 glycine and its sodium salt Nutrition 0.000 claims description 2
- HNDVDQJCIGZPNO-UHFFFAOYSA-N histidine Natural products OC(=O)C(N)CC1=CN=CN1 HNDVDQJCIGZPNO-UHFFFAOYSA-N 0.000 claims description 2
- 229910052739 hydrogen Inorganic materials 0.000 claims description 2
- 239000001257 hydrogen Substances 0.000 claims description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 claims description 2
- 239000001863 hydroxypropyl cellulose Substances 0.000 claims description 2
- 235000010977 hydroxypropyl cellulose Nutrition 0.000 claims description 2
- 239000001866 hydroxypropyl methyl cellulose Substances 0.000 claims description 2
- 235000010979 hydroxypropyl methyl cellulose Nutrition 0.000 claims description 2
- 229920003088 hydroxypropyl methyl cellulose Polymers 0.000 claims description 2
- UFVKGYZPFZQRLF-UHFFFAOYSA-N hydroxypropyl methyl cellulose Chemical compound OC1C(O)C(OC)OC(CO)C1OC1C(O)C(O)C(OC2C(C(O)C(OC3C(C(O)C(O)C(CO)O3)O)C(CO)O2)O)C(CO)O1 UFVKGYZPFZQRLF-UHFFFAOYSA-N 0.000 claims description 2
- ZLTPDFXIESTBQG-UHFFFAOYSA-N isothiazole Chemical compound C=1C=NSC=1 ZLTPDFXIESTBQG-UHFFFAOYSA-N 0.000 claims description 2
- 239000004310 lactic acid Substances 0.000 claims description 2
- 235000014655 lactic acid Nutrition 0.000 claims description 2
- 239000001630 malic acid Substances 0.000 claims description 2
- 235000011090 malic acid Nutrition 0.000 claims description 2
- 229920000609 methyl cellulose Polymers 0.000 claims description 2
- 239000001923 methylcellulose Substances 0.000 claims description 2
- 235000010981 methylcellulose Nutrition 0.000 claims description 2
- 229910017604 nitric acid Inorganic materials 0.000 claims description 2
- FTMKAMVLFVRZQX-UHFFFAOYSA-N octadecylphosphonic acid Chemical compound CCCCCCCCCCCCCCCCCCP(O)(O)=O FTMKAMVLFVRZQX-UHFFFAOYSA-N 0.000 claims description 2
- 235000006408 oxalic acid Nutrition 0.000 claims description 2
- KHIWWQKSHDUIBK-UHFFFAOYSA-N periodic acid Chemical compound OI(=O)(=O)=O KHIWWQKSHDUIBK-UHFFFAOYSA-N 0.000 claims description 2
- COLNVLDHVKWLRT-UHFFFAOYSA-N phenylalanine Natural products OC(=O)C(N)CC1=CC=CC=C1 COLNVLDHVKWLRT-UHFFFAOYSA-N 0.000 claims description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-K phosphate Chemical compound [O-]P([O-])([O-])=O NBIIXXVUZAFLBC-UHFFFAOYSA-K 0.000 claims description 2
- 239000010452 phosphate Substances 0.000 claims description 2
- 235000011056 potassium acetate Nutrition 0.000 claims description 2
- 235000019260 propionic acid Nutrition 0.000 claims description 2
- IUVKMZGDUIUOCP-BTNSXGMBSA-N quinbolone Chemical compound O([C@H]1CC[C@H]2[C@H]3[C@@H]([C@]4(C=CC(=O)C=C4CC3)C)CC[C@@]21C)C1=CCCC1 IUVKMZGDUIUOCP-BTNSXGMBSA-N 0.000 claims description 2
- BDHFUVZGWQCTTF-UHFFFAOYSA-M sulfonate Chemical compound [O-]S(=O)=O BDHFUVZGWQCTTF-UHFFFAOYSA-M 0.000 claims description 2
- VDZOOKBUILJEDG-UHFFFAOYSA-M tetrabutylammonium hydroxide Chemical compound [OH-].CCCC[N+](CCCC)(CCCC)CCCC VDZOOKBUILJEDG-UHFFFAOYSA-M 0.000 claims description 2
- 150000003536 tetrazoles Chemical class 0.000 claims description 2
- 150000003852 triazoles Chemical class 0.000 claims description 2
- OUYCCCASQSFEME-UHFFFAOYSA-N tyrosine Natural products OC(=O)C(N)CC1=CC=C(O)C=C1 OUYCCCASQSFEME-UHFFFAOYSA-N 0.000 claims description 2
- 239000004474 valine Substances 0.000 claims description 2
- UHVMMEOXYDMDKI-JKYCWFKZSA-L zinc;1-(5-cyanopyridin-2-yl)-3-[(1s,2s)-2-(6-fluoro-2-hydroxy-3-propanoylphenyl)cyclopropyl]urea;diacetate Chemical compound [Zn+2].CC([O-])=O.CC([O-])=O.CCC(=O)C1=CC=C(F)C([C@H]2[C@H](C2)NC(=O)NC=2N=CC(=CC=2)C#N)=C1O UHVMMEOXYDMDKI-JKYCWFKZSA-L 0.000 claims description 2
- RYMWKPMVDKJDDK-UHFFFAOYSA-N C(CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC)OP(O)(O)=O Chemical compound C(CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC)OP(O)(O)=O RYMWKPMVDKJDDK-UHFFFAOYSA-N 0.000 claims 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims 1
- LSNNMFCWUKXFEE-UHFFFAOYSA-N Sulfurous acid Chemical compound OS(O)=O LSNNMFCWUKXFEE-UHFFFAOYSA-N 0.000 claims 1
- JTXUVYOABGUBMX-UHFFFAOYSA-N didodecyl hydrogen phosphate Chemical compound CCCCCCCCCCCCOP(O)(=O)OCCCCCCCCCCCC JTXUVYOABGUBMX-UHFFFAOYSA-N 0.000 claims 1
- 229920000591 gum Polymers 0.000 claims 1
- 239000003795 chemical substances by application Substances 0.000 abstract description 3
- 235000012431 wafers Nutrition 0.000 description 80
- 239000010408 film Substances 0.000 description 34
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 27
- 230000007547 defect Effects 0.000 description 27
- 239000000463 material Substances 0.000 description 27
- 239000002002 slurry Substances 0.000 description 19
- 230000007797 corrosion Effects 0.000 description 17
- 238000005260 corrosion Methods 0.000 description 17
- 125000004432 carbon atom Chemical group C* 0.000 description 16
- 230000008569 process Effects 0.000 description 16
- 229910052710 silicon Inorganic materials 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 15
- 239000002184 metal Substances 0.000 description 15
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 14
- 239000010703 silicon Substances 0.000 description 14
- 239000008119 colloidal silica Substances 0.000 description 13
- 238000002955 isolation Methods 0.000 description 10
- 238000004519 manufacturing process Methods 0.000 description 10
- 230000006399 behavior Effects 0.000 description 9
- 239000007788 liquid Substances 0.000 description 9
- 239000000126 substance Substances 0.000 description 7
- 239000002585 base Substances 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 150000002739 metals Chemical class 0.000 description 6
- 150000007524 organic acids Chemical class 0.000 description 6
- 239000010409 thin film Substances 0.000 description 6
- VOQMPZXAFLPTMM-UHFFFAOYSA-N 4-(4-chlorophenoxy)piperidine Chemical compound C1=CC(Cl)=CC=C1OC1CCNCC1 VOQMPZXAFLPTMM-UHFFFAOYSA-N 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 239000002245 particle Substances 0.000 description 5
- 238000012360 testing method Methods 0.000 description 5
- 239000010936 titanium Substances 0.000 description 5
- KAESVJOAVNADME-UHFFFAOYSA-N Pyrrole Chemical compound C=1C=CNC=1 KAESVJOAVNADME-UHFFFAOYSA-N 0.000 description 4
- 239000003513 alkali Substances 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 239000005380 borophosphosilicate glass Substances 0.000 description 4
- 239000012141 concentrate Substances 0.000 description 4
- 238000000151 deposition Methods 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 150000002430 hydrocarbons Chemical group 0.000 description 4
- 230000010354 integration Effects 0.000 description 4
- 229910044991 metal oxide Inorganic materials 0.000 description 4
- 150000004706 metal oxides Chemical class 0.000 description 4
- 229920000642 polymer Polymers 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 239000000243 solution Substances 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 238000009472 formulation Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229920006395 saturated elastomer Polymers 0.000 description 3
- 238000003860 storage Methods 0.000 description 3
- 238000012876 topography Methods 0.000 description 3
- NLXLAEXVIDQMFP-UHFFFAOYSA-N Ammonia chloride Chemical compound [NH4+].[Cl-] NLXLAEXVIDQMFP-UHFFFAOYSA-N 0.000 description 2
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 2
- 230000032683 aging Effects 0.000 description 2
- 125000003342 alkenyl group Chemical group 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 150000001450 anions Chemical class 0.000 description 2
- 238000003491 array Methods 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- TVACALAUIQMRDF-UHFFFAOYSA-N dodecyl dihydrogen phosphate Chemical compound CCCCCCCCCCCCOP(O)(O)=O TVACALAUIQMRDF-UHFFFAOYSA-N 0.000 description 2
- 238000002296 dynamic light scattering Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 2
- DOUHZFSGSXMPIE-UHFFFAOYSA-N hydroxidooxidosulfur(.) Chemical group [O]SO DOUHZFSGSXMPIE-UHFFFAOYSA-N 0.000 description 2
- 239000004615 ingredient Substances 0.000 description 2
- 239000003112 inhibitor Substances 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000003002 pH adjusting agent Substances 0.000 description 2
- 235000021317 phosphate Nutrition 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- 239000004094 surface-active agent Substances 0.000 description 2
- 230000002195 synergetic effect Effects 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- CPELXLSAUQHCOX-UHFFFAOYSA-M Bromide Chemical compound [Br-] CPELXLSAUQHCOX-UHFFFAOYSA-M 0.000 description 1
- 101100311260 Caenorhabditis elegans sti-1 gene Proteins 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 102100033270 Cyclin-dependent kinase inhibitor 1 Human genes 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- 108091006627 SLC12A9 Proteins 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910020175 SiOH Inorganic materials 0.000 description 1
- 238000002835 absorbance Methods 0.000 description 1
- 230000002378 acidificating effect Effects 0.000 description 1
- 229910001508 alkali metal halide Inorganic materials 0.000 description 1
- 150000008045 alkali metal halides Chemical class 0.000 description 1
- 125000000217 alkyl group Chemical group 0.000 description 1
- 125000000304 alkynyl group Chemical group 0.000 description 1
- 125000003277 amino group Chemical group 0.000 description 1
- 235000019270 ammonium chloride Nutrition 0.000 description 1
- 238000010539 anionic addition polymerization reaction Methods 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 238000010538 cationic polymerization reaction Methods 0.000 description 1
- 150000001768 cations Chemical class 0.000 description 1
- CQGVSILDZJUINE-UHFFFAOYSA-N cerium;hydrate Chemical compound O.[Ce] CQGVSILDZJUINE-UHFFFAOYSA-N 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 238000001246 colloidal dispersion Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 125000006165 cyclic alkyl group Chemical group 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 230000006866 deterioration Effects 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 125000003438 dodecyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 229960004667 ethyl cellulose Drugs 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 230000006870 function Effects 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- 229940071676 hydroxypropylcellulose Drugs 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 229960002900 methylcellulose Drugs 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 235000005985 organic acids Nutrition 0.000 description 1
- 125000000962 organic group Chemical group 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 1
- 239000004014 plasticizer Substances 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920002635 polyurethane Polymers 0.000 description 1
- 239000004814 polyurethane Substances 0.000 description 1
- 229910052700 potassium Inorganic materials 0.000 description 1
- 239000011591 potassium Substances 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- ISEUFVQQFVOBCY-UHFFFAOYSA-N prometon Chemical compound COC1=NC(NC(C)C)=NC(NC(C)C)=N1 ISEUFVQQFVOBCY-UHFFFAOYSA-N 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 229910052761 rare earth metal Inorganic materials 0.000 description 1
- 150000002910 rare earth metals Chemical class 0.000 description 1
- 150000003839 salts Chemical class 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 239000011734 sodium Substances 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 125000003396 thiol group Chemical group [H]S* 0.000 description 1
- 229910021654 trace metal Inorganic materials 0.000 description 1
- 239000000230 xanthan gum Substances 0.000 description 1
- 229920001285 xanthan gum Polymers 0.000 description 1
- 235000010493 xanthan gum Nutrition 0.000 description 1
- 229940082509 xanthan gum Drugs 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09G—POLISHING COMPOSITIONS; SKI WAXES
- C09G1/00—Polishing compositions
- C09G1/02—Polishing compositions containing abrasives or grinding agents
-
- C—CHEMISTRY; METALLURGY
- C09—DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
- C09K—MATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
- C09K3/00—Materials not provided for elsewhere
- C09K3/14—Anti-slip materials; Abrasives
- C09K3/1409—Abrasive particles per se
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/31051—Planarisation of the insulating layers
- H01L21/31053—Planarisation of the insulating layers involving a dielectric removal step
- H01L21/31055—Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
- H01L21/31056—Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/762—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
- H01L21/76224—Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Treatment Of Semiconductor (AREA)
- Composite Materials (AREA)
- Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
Abstract
本案揭示關於一種研磨組成物,其包括至少一磨料;至少一氮化物移除速率降低劑;一酸或一鹼;以及水。該至少一氮化物移除速率降低劑可包括一含有一C12
至C40
烴基團的疏水部分;以及一含有至少一選自於由一亞磺酸鹽/酯基團、一硫酸鹽/酯基團、一磺酸鹽/酯基團、一羧酸鹽/酯基團、一磷酸鹽/酯基團和一膦酸鹽/酯基團所構成群組的基團的親水部分;其中該疏水部分和該親水部分藉由0至10個氧化伸烷基團而被分開。該研磨組成物可具有一約2至約6.5的pH。
Description
相關申請案的交互參考
本申請案主張於2018年12月19日所提申的美國臨時申請案序號62/781,648以及於2019年3月18日所提申的美國專利申請案第16/356,669號的優先權,其等內容藉此整體併入以做為參考。
本發明係有關於研磨組成物及其使用方法。
發明背景
半導體工業藉由經由製程和整合創新進一步小型化元件而持續地被驅動以改善晶片性能。化學機械研磨/平坦化(CMP)是一強大的技術,因為它使在電晶體位準下的許多複雜的整合方案成為可能的,藉此促進增加的晶片密度。
發明概要
電晶體一般而言在前端流程(Front End of Line,FEOL)電晶體製造步驟中被製造。FEOL材料堆疊典型地包括一金屬閘極和複數個堆疊的介電質材料。在各個積體電路中的數十億個主動元件的電隔離是一在FEOL的目
標,並且可使用一淺溝槽隔離(shallow trench isolation,STI)製程而被達到。為了示範目的,一STI製程的一部分被顯示在圖1。如從圖1可見的,在STI CMP製程之前,熱氧化矽和SiN可被沉積在矽的頂部(例如,一矽晶圓)(圖1(a)),並且接著予以蝕刻出以產生溝槽/隔離和“主動”非溝槽區域(以形成含有電晶體區域)(圖1(b))。之後,這些溝槽/隔離區域可藉由在溝槽中沉積(例如,藉由使用電漿增強化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD))氧化矽(例如,TEOS)而被填充,藉此該主動非溝槽區域可藉由在溝槽中的氧化矽而被隔離(圖1(c))。之後,在主動非溝槽區域上的“超載/額外的”氧化矽可選擇性地被移除同時保持氧化矽在溝槽中(圖1(d))。氧化矽的選擇性移除藉由一淺溝槽隔離(STI)化學機械研磨/平坦化(CMP)製程而被達到,其中一具有氧化矽比氮化矽(例如,SiN)的高材料移除速率(MRR)選擇性的CMP研磨組成物(諸如在本案所描述的那個)被使用以在一高速率下移除氧化矽,較佳地沒有實質地移除氮化矽(一停止層)。在上面的STI CMP步驟之後,蝕刻可被使用以暴露矽俾以完成隔離並且預防在主動非溝槽區域中形成的相鄰電晶體變成彼此接觸,以及藉此預防電路的短路。
普遍地被使用在STI的介電質薄膜是氮化矽(例如,SiN)、氧化矽(例如,TEOS:四乙基正矽酸鹽/酯(tetra-ethyl ortho-silicate))、多晶矽(P-Si)、碳氮化矽(silicon carbon nitride)(例如,SiCN),以及低-k/超-低k
介電質薄膜(例如,SiCOH)。隨著在45nm的高-k金屬閘極技術和在22nm晶片生產的FinFET技術的導入,SiN、TEOS、SiCN和P-Si薄膜開始更常被使用並且在FEOL的更多應用中。此外,在後端流程(Back End of Line,BEOL)中,因為慣常的阻隔材料(例如,Ta/TaN或Ti/TiN)的電阻率已被顯示不能有效地縮小用於先進的亞-10nm製造節點,這些阻隔材料可由介電質(諸如SiN、TEOS、SiCN和P-Si)代替用於各種不同的BEOL材料堆疊。因此,對於FEOL和BEOL這兩者,這些介電質薄膜可被使用作為一蝕刻停止層、覆蓋材料、間隔材料、附加襯墊、擴散/鈍化阻隔、硬罩和/或停止層。
一般而言,介電質薄膜在先進的半導體製造中被更慷慨地使用。從一CMP觀點,大部分這些併入介電質的整合需要可在這些薄膜上工作/研磨和/或停止的研磨組成物(漿料),諸如可移除SiN但不移除(停止)TEOS/P-Si的漿料或者可移除TEOS/p-Si但不移除(停止)SiN的漿料。
本案揭示關於穩定的水性漿料,其可選擇性地研磨各種不同的材料(例如,氧化物(諸如氧化矽)),同時在氮化矽以及相關的矽和氮為基礎的薄膜(像SiCN(矽碳氮化矽))上達到非常低的研磨/移除速率。例如,該等研磨組成物可在一相對高的材料移除速率(MRR)下研磨氧化矽(例如,SiO2)並且在非常低的速率下停止或研磨氮化矽(例如,SiN)或相關的薄膜。例如,可藉由在此所描述
的研磨組成物而被移除的氧化矽包括選自於下列的氧化矽:TEOS、熱氧化物(TOX)(例如,藉由裸矽的高壓釜誘導的氧化所引起)、藉由電漿增強PVD沉積所形成的氧化矽(例如,高密度電漿或高縱橫比電漿)、藉由CVD沉積與後電漿表面固化所形成的氧化矽、碳摻雜的氧化矽(SiOC),以及藉由一氧化物前驅物的液體施加繼而光或熱誘導的固化所形成的氧化矽。在一些例子中,在高MRR下要被移除的標靶薄膜可以是一金屬或一金屬氧化物或一金屬氮化物而不是氧化矽介電質。金屬、金屬氧化物和金屬氮化物的常見實例包括銅、鈷、釕、鋁、鈦、鎢和鉭用於金屬,氧化鉿、氧化鈦、氧化鋁、氧化鋯和氧化鉭用於金屬氧化物,以及釕、鋁、鈦、鎢和鉭的氮化物。關於此等例子,停止/低移除速率薄膜可仍然是一氮化矽薄膜並且因此來自本案的含有氮化物移除速率降低劑的研磨組成物可被利用以達到一所欲的選擇性。
更特別地,本案揭示關於包括一磨料、一氮化物移除速率降低劑、一酸或鹼、水以及選擇性地一凹陷降低劑(dishing reducing agent)(例如,一陰離子凹陷劑)的研磨組成物。在此所描述的研磨組成物的pH可在2至6.5的範圍或,更特別地,在2至4.5的範圍。本案揭示的組成物亦可被稀釋(例如,在使用點)以形成一研磨組成物而在性能上沒有任何惡化。本案亦討論用於使用上述的研磨組成物研磨半導體基材的方法。
在一方面,在此所揭示的具體例有關於一種
研磨組成物,其包括至少一磨料、至少一氮化物移除速率降低劑、一酸或一鹼,以及水。該氮化物移除速率降低劑包括一含有一C12至C40烴基團的疏水部分;以及一含有至少一選自於由一亞磺酸鹽/酯基團(sulfinite group)、一硫酸鹽/酯基團、一磺酸鹽/酯基團、一羧酸鹽/酯基團、一磷酸鹽/酯基團和一膦酸鹽/酯基團所構成的群組的基團的親水部分;以及其中該疏水部分和該親水部分藉由0至10個氧化伸烷基團而被分開。該研磨組成物具有一約2至約6.5的pH。
在另一個方面,在此所揭示的具體例有關於一種包括下列的研磨組成物:至少一磨料;至少一包括一疏水部分和一親水部分的氮化物移除速率降低劑;一酸或一鹼;以及水;其中該研磨組成物具有一約2至約6.5的pH;以及在研磨一包括至少氮化矽圖案的圖案化晶圓的期間,該研磨組成物具有一至少約3:1的氧化矽移除速率比氮化矽移除速率的比例,其中該氮化矽圖案被至少氧化矽覆蓋(以及選擇性地其他材料,諸如金屬或介電質)。
在又另一個方面,在此所揭示的具體例有關於一種包括下列的研磨組成物:至少一磨料;至少一包括一疏水部分和一親水部分的氮化物移除速率降低劑;一酸或一鹼;以及水;其中該研磨組成物具有一約2至約6.5的pH;以及其中當以該研磨組成物研磨一包括至少氮化矽圖案被至少氧化矽覆蓋的圖案化晶圓時,小於約1000埃(angstroms)的氧化矽凹陷發生,其中該研磨暴露該氮化矽
圖案在該圖案化晶圓上。
在又另一個方面,在此所揭示的具體例有關於一種包括下列的研磨組成物:至少一磨料;至少一包括一疏水部分和一親水部分的氮化物移除速率降低劑;一酸或一鹼;以及水;其中該研磨組成物具有一約2至約6.5的pH;以及其中當以該研磨組成物研磨一包括至少氮化矽圖案被至少氧化矽覆蓋的圖案化晶圓時,小於約500埃的氮化矽腐蝕發生,其中該研磨暴露該氮化矽圖案在該圖案化晶圓上。
在又另一個方面,在此所揭示的具體例有關於一種方法,其包括:施加一在此所描述的研磨組成物至一基材,該基材具有至少氮化矽和至少氧化矽在基材的一表面上;以及令一墊與該基材的該表面接觸並且令該墊相對於該基材移動。
在相同的組成物中磨料、該氮化物RR降低劑和該選擇性的凹陷降低劑的協同使用提供在現今可獲得的漿料中未被發現的獨特優點。其中,這些效益包括下列:
1.在此所描述的組成物可達到非常低的氮化矽(例如,SiN)移除速率。優異的氮化矽保護可經由氮化矽移除速率降低劑的明智選擇和配製/裝載而被達到。再者,如在本案所證明的,低氮化矽移除速率在空白晶圓(亦即,含有僅氮化矽薄膜的晶圓)和圖案化晶圓(亦即,含有氮化矽薄膜和其他薄膜(例如TEOS)的晶圓,呈一圖案而被蝕刻)這兩者被觀察到。
2.非常低的氮化矽移除速率能夠獲得最小的氮化矽損失並且因此在圖案化晶圓上研磨後非常低的氮化矽腐蝕。
3.該等組成物可達到低氧化矽凹陷/階梯高度(step-height)。凹陷性能可以該凹陷降低劑的明智選擇和裝載/濃縮而被調整。
4.該等組成物與廣泛各種不同的磨料相容。經由顆粒修飾,磨料的ζ電位可被調整以進一步調節在標靶薄膜上的移除速率。陰離子、陽離子和中性磨料全部能夠形成具有更高的氧化矽移除速率和相對地較低的氮化矽移除速率的穩定漿料。
5.該等組成物可形成具有高純度膠體二氧化矽作為磨料的穩定漿料。當相較於以慣常地被使用的氧化鈰磨料(其一般而言在一被研磨的晶圓上產生一大數量的缺陷)而被研磨的晶圓時,這個容許產生具有低微量金屬計數和低大顆粒計數的漿料的產生,導致在被研磨的晶圓上降低的缺陷。此外,在此所描述的組成物可克服慣常的二氧化矽-為基礎的STI CMP組成物的某些缺點(諸如它們的高氮化矽移除速率以及在氧化矽和氮化矽之間的低移除選擇性)。
6.該等組成物在各種不同的研磨條件下產生低的氮化物移除速率。例如,氮化矽移除速率在硬研磨墊(例如,聚胺甲酸酯為基礎的墊)和軟研磨墊(例如,多孔的和低蕭氏D硬度值墊)這兩者上維持低的。此外,已被觀察
到的是:下壓力和速度不會明顯地影響氮化矽移除速率,其有一好的CMP屬性,因為停止薄膜行為是非普雷斯頓(non-prestonian)。本案揭示的組成物作為壓力和速度的一函數在移除速率中展現小變化的事實導致在圖案化晶圓研磨後非常好的形貌(topography)和高產率。在本領域的語言中,本案揭示的組成物導致關於氧化矽凹陷和階梯高度的低值連同氮化矽腐蝕/損失的低值。
在本案所討論的研磨組成物和濃縮物與現今可獲得的當代漿料相比在現今世代積體電路基材上提供性能支持,而同時地對於下世代基材和整合方案展現顯著的優點。本案揭示的組成物可以非常高的選擇性而成功地和有效地移除各種不同的金屬和介電質層超過移除氮化矽層。該等組成物可被使用於淺溝槽隔離(STI)製程,自我對準接觸製程(self-aligned contact processes)、或非常低的氮化矽材料移除速率是所欲的其他製程。
圖1是在半導體製造中在一淺溝槽隔離(STI)製程(包括STI CMP)的一製程流程的一示意圖。圖1(a)顯示:在淺溝槽隔離(STI)化學機械平坦化(CMP)之前,熱氧化矽(TOX)和氮化矽(SiN)被沉積在矽(Si)的頂部上。這個繼而蝕刻為了產生主動區域。圖1(b)顯示溝槽已被產生留下由TOX和SiN覆蓋的矽的主動區域。這個接著被填充以一介電質-通常是PE-CVD氧化矽(SiO2)。圖1(c)
顯示:主動區域藉由在淺溝槽的二氧化矽介電質而被隔離。為了完成STI,SiO2從主動區域選擇性地被移除同時保持SiO2在淺溝槽內。這個可藉由STI CMP(本發明的標的)而被做出,其中SiO2在高速率下被移除以及SiN(停止層)不被移除。圖1(d)顯示:蝕刻可被使用以移除SiN並且暴露矽以完成STI。一旦閘極,矽的主動區域將變成電晶體,金屬佈線和元件製造被完成。
圖2是在研磨之前一STI圖案化晶圓薄膜堆疊的一示意圖。
圖3是一描繪在使用一依據本案揭示的二氧化矽為基礎的研磨組成物的STI CMP之後的總體缺陷率的晶圓圖譜。
圖4是一描繪在使用一商業的含有氧化鈰磨料的組成物的STI CMP之後的總體缺陷率的晶圓圖譜。
較佳實施例之詳細說明
本案揭示關於研磨組成物,以及用於使用其研磨半導體基材的方法。在一些具體例中,本案關於選擇性地研磨氧化矽表面超過氮化矽表面。氧化矽超過氮化矽的選擇性研磨是在半導體製造中的一關鍵製程,並且通常在淺溝槽隔離(shallow trench isolation,STI)製程的期間被執行。慣常地,STI研磨組成物(漿料)利用氧化鈰磨料以在STI製程中達到所需的研磨性能(例如,選擇性),因為使用二氧化矽磨料的組成物沒有已充分地被執行(例如,高的氮化矽
移除速率)。然而,氧化鈰磨料被知曉當被使用在研磨組成物時提供高比率的缺陷率和刮痕,起因於它們的“無機硬”性質。進一步,氧化鈰-為基礎的研磨組成物要比二氧化矽-為基礎的研磨組成物展現一較短的儲存期限(例如,較低的儲存能力、較低的可用時間期間和較早的有效期)、一較短的適用期(例如,在打開容器後和/或在一貯留槽或分配迴路中的活性),以及氧化鈰要比二氧化矽具有更大的價格波動性。此外,氧化鈰包括一稀土金屬並且要比二氧化矽更昂貴。依據本案揭示的組成物容許使用要比氧化鈰磨料更軟的二氧化矽磨料用於STI漿料。當相較於利用一氧化鈰磨料的STI製程時,該等含有二氧化矽的研磨組成物可在氧化矽(例如,TEOS)超過氮化矽(例如,SiN)的材料移除速率(MRR)提供非常好的選擇性,同時亦提供具有一非常低的缺陷率的經研磨的晶圓表面。因此,當相較於利用氧化鈰磨料的慣常研磨組成物時,依據本申請案的研磨組成物能夠增加晶圓的元件產率。
在此所揭示的研磨組成物可包括(a)一磨料、(b)一氮化物移除速率降低劑、(c)一酸或鹼、(d)水,以及選擇性地(e)一凹陷降低劑(例如,一陰離子凹陷降低劑)。該研磨組成物可具有一至少約2至最多約6.5的pH。本案揭示的研磨組成物可具有一用於研磨介電質或金屬超過研磨氮化矽的高選擇性。本案亦提供一種使用該等研磨組成物以研磨半導體基材的方法。特別地,本案提供一種用於以超過氮化矽的高選擇性研磨介電質或金屬的方
法。
在一或多個具體例中,該至少一(例如,2個或3個)磨料是選自於陽離子磨料、實質上中性磨料,以及陰離子磨料。在一或多個具體例中,該至少一磨料是選自於由下列所構成的群組:氧化鋁(alumina)、二氧化矽、氧化鈦(titania)、氧化鈰(ceria)、氧化鋯(zirconia)、其等的共形成產物、塗佈的磨料、表面修飾的磨料,以及其等的混合物。在一些具體例中,該至少一磨料不包括氧化鈰。
在一或多個具體例中,該磨料是一個二氧化矽-為基礎的磨料,諸如選自於由下列所構成的群組的一者:膠體二氧化矽、煙燻二氧化矽,以及其等的混合物。在一或多個具體例中,該磨料具有一被修飾以有機基團和/或非矽質無機基團的表面。例如,該陽離子磨料可包括具有下列化學式(I)的末端基團:-Om-X-(CH2)n-Y(I),其中m是一自1至3的整數;n是一自1至10的整數;X是Al、Si、Ti或Zr;以及Y是一陽離子胺基或硫醇基團。作為另一個實例,該陰離子磨料可包括具有下列化學式(I)的末端基團:-Om-X-(CH2)n-Y(I),其中m是一自1至3的整數;n是一自1至10的整數;X是Al、Si、Ti或Zr;以及Y是一酸基團。在一些具體例中,該至少一磨料可呈以該組成物的總重量計一自至少約0.05wt%(例如,至少約0.1wt%、至少約0.5wt%、至少約1
wt%、至少約2wt%、至少約3wt%,或至少約5wt%)至最多約20wt%(例如,最多約15wt%、最多約10wt%、最多約8wt%、最多約6wt%、最多約4wt%,或最多約2wt%)的數量存在於一在此所描述的研磨組成物。
在一或多個具體例中,該在此所描述的磨料可具有一至少約1nm(例如,至少約5nm、至少約10nm、至少約20nm、至少約40nm、至少約50nm、至少約60nm、至少約80nm,或至少約100nm)至最多約1000nm(例如,最多約800nm、最多約600nm、最多約500nm、最多約400nm,或最多約200nm)的平均粒徑。如此處所用的,平均粒徑(MPS)是藉由動態光散射技術(dynamic light scattering techniques)而被測定。
在一或多個具體例中,該至少一(例如,2或3個不同的)氮化物移除速率降低劑是一包括一含有一C12至C40烴基團(例如,含有一烷基基團和/或一烯基基團)的疏水部分;以及一含有至少一選自於由一亞磺酸鹽/酯基團、一硫酸鹽/酯基團、一磺酸鹽/酯基團、一羧酸鹽/酯基團、一磷酸鹽/酯基團和一膦酸鹽/酯基團所構成的群組的基團的親水部分的化合物。在一或多個具體例中,該疏水部分和該親水部分藉由0至10個(例如,1、2、3、4、5、6、7、8或9)氧化伸烷基團(例如,-(CH2)nO-基團其中n可以是1、2、3或4)而被分開。在一或多個具體例中,該氮化物移除速率降低劑具有0個氧化伸烷基團分開該疏水部分和該親水部分。不希望由理論所束縛,被相信的是:在
氮化物移除速率降低劑內氧化伸烷基團的存在在一些具體例中可能不是較佳的,因為它們可產生漿料穩定性問題和增加氮化矽移除速率。
在一或多個具體例中,該氮化物移除速率降低劑呈以該組成物的總重量計一自至少約0.1ppm(例如,至少約0.5ppm、至少約1ppm、至少約5ppm、至少約10ppm、至少約25ppm、至少約50ppm、至少約75ppm,或至少約100ppm)至最多約1000ppm(例如,最多約900ppm、最多約800ppm、最多約700ppm、最多約600ppm、最多約500ppm,或最多約250ppm)的數量被包括在一在此所描述的研磨組成物。
在一或多個具體例中,該氮化物移除速率降低劑具有一含有一包括至少12個碳原子(C12)(例如,至少14個碳原子(C14)、至少16個碳原子(C16)、至少18個碳原子(C18)、至少20個碳原子(C20),或至少22個碳原子(C22))和/或最多40個碳原子(C40)(例如,最多38個碳原子(C38)、最多36個碳原子(C36)、最多34個碳原子(C34)、最多32個碳原子(C32)、最多30個碳原子(C30)、最多28個碳原子(C28)、最多26個碳原子(C26)、最多24個碳原子(C24),或最多22個碳原子(C22))的烴基團的疏水部分。在此所提到的烴基團意指含有僅碳和氫原子的基團,並且可包括飽和基團(例如,線性、分枝或環狀烷基基團)以及不飽和基團(例如,線性、分枝或環狀烯基基團;線性、分枝或環狀炔基基團;或芳族基團(例如,苯基或萘
基))這兩者。在一或多個具體例中,該氮化物移除速率降低劑的該親水部分含有至少一選自於一磷酸鹽/酯基團和一膦酸鹽/酯基團的基團。要注意的是:術語“膦酸鹽/酯基團”明顯地意欲包括膦酸基團。
在一或多個具體例中,該氮化物移除速率降低劑是選自於由下列所構成的群組:萘磺酸-福馬林縮合物(napthalenesulfonic acid-formalin condensate)、月桂基磷酸鹽/酯(lauryl phosphate)、肉豆蔻基磷酸鹽/酯(myristyl phosphate)、硬脂基磷酸鹽/酯(stearyl phosphate)、十八烷基膦酸(octadecylphosphonic acid)、油基磷酸鹽/酯(oleyl phosphate)、二十二烷基磷酸鹽/酯(behenyl phosphate)、十八烷基硫酸鹽/酯(octadecyl sulfate)、三十二烷基磷酸鹽/酯(lacceryl phosphate)、油醇聚醚-3-磷酸鹽/酯(oleth-3-phosphate)以及油醇聚醚-10-磷酸鹽/酯(oleth-10-phosphate)。
在一或多個具體例中,在此所描述的研磨組成物選擇性地進一步包括至少一(例如,2或3個)凹陷降低劑(例如,陰離子凹陷降低劑)。在一或多個具體例中,該至少一凹陷降低劑是一包括至少一選自於由下列所構成的群組的基團的化合物:羥基、硫酸鹽/酯、膦酸鹽/酯、磷酸鹽/酯、磺酸鹽/酯、胺、硝酸鹽/酯、亞硝酸鹽/酯、羧酸鹽/酯,以及碳酸鹽/酯基團。在一或多個具體例中,該至少一凹陷降低劑是選自於由一多醣和一經取代的多醣所構成的群組的至少一者。在一或多個具體例中,該至少
一凹陷降低劑是選自於由下列所構成的群組的至少一者:鹿角菜膠(carrageenan)、三仙膠(xanthan gum)、羥丙基纖維素、甲基纖維素、乙基纖維素、羥丙基甲基纖維素以及羧甲基纖維素。在一或多個具體例中,該至少一氮化物移除速率降低劑和該至少一凹陷降低劑彼此是化學上不同的。
在一或多個具體例中,該凹陷降低劑呈以該組成物的總重量計一自至少約0.1ppm(例如,至少約0.5ppm、至少約1ppm、至少約5ppm、至少約10ppm、至少約25ppm、至少約50ppm、至少約75ppm,或至少約100ppm)至最多約1000ppm(例如,最多約900ppm、最多約800ppm、最多約700ppm、最多約600ppm,或最多約500ppm)的數量而被包括在一在此所描述的研磨組成物中。
在一或多個具體例中,該酸是選自於由下列所構成的群組:甲酸、乙酸、丙二酸、檸檬酸、丙酸、蘋果酸、己二酸、琥珀酸、乳酸、草酸、羥基亞乙基二膦酸、2-膦醯基-1,2,4-丁烷三羧酸、胺基三亞甲基膦酸、六亞甲基二胺四(亞甲基膦酸)、雙(六亞甲基)三胺膦酸、胺基乙酸、過乙酸、乙酸鉀、苯氧基乙酸、甘胺酸、二羥乙甘胺酸(bicine)、二甘醇酸(diglycolic acid)、甘油酸(glyceric acid)、三(羥甲基)甲基甘胺酸(tricine)、丙胺酸、組胺酸、纈胺酸、苯基丙胺酸、脯胺酸、麩醯胺、天冬胺酸、麩胺酸、精胺酸、離胺酸、酪胺酸、苯甲酸、硝酸、硫酸、亞
硫酸、磷酸、膦酸、鹽酸、過碘酸,以及其等的混合物。
在一或多個具體例中,該鹼是選自於由下列所構成的群組:氫氧化鉀、氫氧化鈉、氫氧化銫、氫氧化銨、三乙醇胺、二乙醇胺、單乙醇胺、氫氧化四丁基銨、氫氧化四甲基銨、氫氧化鋰、咪唑、三唑、胺基三唑、四唑、苯并三唑、甲苯基三唑、吡唑、異噻唑,以及其等的混合物。
在一或多個具體例中,該酸或鹼可呈以該組成物的總重量計一自至少約0.01wt%(例如,至少約0.05wt%、至少約0.1wt%、至少約0.5wt%,或至少約1wt%)至最多約10wt%(例如,最多約8wt%、最多約6wt%、最多約5wt%、最多約4wt%,或最多約2wt%)的數量存在於一在此所描述的研磨組成物。例如,該酸或鹼可呈一足以調節一研磨組成物的pH至所欲值的數量而被添加。
在一或多個具體例中,該水可呈以該組成物的總重量計一自至少約50wt%(例如,至少約55wt%、至少約60wt%、至少約65wt%、至少約70wt%,或至少約75wt%)至最多約99.9wt%(例如,最多約99.5wt%、最多約99wt%、最多約97wt%、最多約95wt%,或最多約90wt%)的數量存在於一在此所描述的研磨組成物(例如,作為一液體介質或載體)。
在一或多個具體例中,在此所描述的研磨組成物可具有一至少約2(例如,至少約2.5、至少約3、至少約3.5,或至少約4)至最多約6.5(例如,最多約6、最多約
5.5、最多約5,或最多約4.5)的pH。不希望由理論所束縛,被相信的:一具有一超過6.5的pH的研磨組成物可能降低氧化矽/氮化矽移除速率選擇性並且具有穩定性問題。
在一或多個具體例中,在此所描述的研磨組成物可實質上沒有一或多個某些成分,諸如鹽類(例如,鹵化物鹽類)、聚合物(例如,陽離子或陰離子聚合物,或不是一凹陷降低劑的聚合物)、界面活性劑(例如,不是一氮化物移除速率降低劑的那些)、塑化劑、氧化劑、腐蝕抑制劑(例如,唑或非唑腐蝕抑制劑),和/或某些磨料(例如,氧化鈰磨料或非離子磨料)。可從該等研磨組成物被排除的鹵化物鹽類包括鹼金屬鹵化物(例如,鹵化鈉或鹵化鉀)或鹵化銨(例如,氯化銨),並且可以是氯化物、溴化物或碘化物。如此處所用的,一從一研磨組成物“實質上沒有”的成分意指一不意欲地被添加至該研磨組成物內的成分。在一些具體例中,在此所描述的研磨組成物可具有最多約1000ppm(例如,最多約500ppm、最多約250ppm、最多約100ppm、最多約50ppm、最多約10ppm,或最多約1ppm)的一或多個從該等研磨組成物實質上沒有的上面成分。在一些具體例中,該等被描述的研磨組成物可完全地沒有一或多個上面的成分。
在一或多個具體例中,在此所描述的研磨組成物具有一至少約3:1、或至少約4:1、或至少約5:1、或至少約10:1、或至少約25:1、或至少約50:1、或至少約60:1、或至少約75:1、或至少約100:1、或至少約150:1、或至少
約200:1、或至少約250:1、或至少約300:1、或至少約500:1、或至少約750:1、或最多約1000:1,或最多約5000:1的氧化矽(例如,TEOS)移除速率比氮化矽移除速率的比例(亦即,一移除速率選擇性)。在一或多個具體例中,當測量關於研磨空白晶圓或圖案化晶圓(亦即,包括至少氮化矽圖案的晶圓,其中該等氮化矽圖案被至少氧化矽覆蓋(和選擇性地以其他材料(諸如金屬和介電質))的移除速率時,上面所描述的比例可以是可應用的。
在一或多個具體例中,當以該研磨組成物研磨一圖案化晶圓(其可包括至少氮化矽圖案被至少氧化矽覆蓋)(例如,直到研磨暴露該等氮化矽圖案在該圖案化晶圓上)時,最多約1000埃、最多約500埃、或最多約375埃、或最多約250埃、或最多約200埃、或最多約100埃、或最多約50埃,和/或至少約0埃的氧化矽(例如,TEOS)凹陷發生。在一或多個具體例中,當以該研磨組成物研磨一圖案化晶圓(其可包括至少氮化矽圖案被至少氧化矽覆蓋)(例如,直到研磨暴露該等氮化矽圖案在該圖案化晶圓上)時,最多約500埃、或最多約400埃、或最多約300埃、或最多約250埃、最多約200埃、最多約100埃、或最多約75埃、或最多約65埃、或最多約50埃、或最多約32埃和/或至少約0埃的氮化矽腐蝕發生。
在一或多個具體例中,當使用一依據本案揭示的研磨組成物研磨一圖案化晶圓時,平坦化效率(亦即,在氧化矽階梯高度的變化除以在研磨的期間被移除的氧
化矽的數量,乘以100)是至少約14%(例如,至少約20%、至少約30%、至少約38%、至少約40%、至少約46%、至少約50%、至少約60%、至少約70%,或至少約74%)以及最多約100%(例如,最多約99.9%、最多約99%、最多約95%、最多約90%、最多約80%、最多約70%,以及最多約60%)。在一或多個具體例中,當使用一依據本案揭示的研磨組成物(例如,一包括一個二氧化矽磨料和該氮化物移除速率降低劑的組成物)研磨圖案化晶圓時,在一具有一為12英吋(亦即,約300mm)的直徑的圖案化晶圓上的總缺陷計數是最多175個(例如,最多170個、最多160個、最多150個、最多125個、最多100個、最多75個、最多50個、最多25個、最多10個,或最多5個)。如在此所描述的,被計數的缺陷是在尺寸上至少約90nm的那些。
在一或多個具體例中,本案特徵化一研磨的方法,其可包括施加一依據本案揭示的研磨組成物至一具有至少氮化矽和氧化矽在基材的一表面上的基材(例如,一晶圓);以及令一墊與該基材的該表面接觸並且令該墊相對於該基材移動。在一些具體例中,當該基材包括至少氮化矽圖案被至少氧化矽(例如,在其他材料(諸如矽為基礎的介電質(例如,碳化矽等等)、金屬、金屬氧化物和氮化物等等)的存在下的氧化矽)覆蓋時,上面的方法可移除至少一部分的該氧化矽(例如,在主動、非溝槽區域上的氧化矽)以暴露氮化矽。被注意到的是:在此所描述的術語“氮化矽”和“氧化矽”明顯地被意欲包括未摻雜和摻雜形式的氮
化矽和/或氧化矽這兩者。例如,在一或多個具體例中,該氮化矽和氧化矽可獨立地被摻雜有至少一選自於碳、氮(用於氧化矽)、氧、氫的摻雜物或用於氮化矽或氧化矽的任何其他已知的摻雜物。氧化矽薄膜類型的一些實例包括TEOS(四乙基正矽酸鹽/酯(tetra-ethyl orthosilicate))、SiOC、SiOCN、SiOCH、SiOH和SiON,列舉一些。氮化矽薄膜類型的一些實例包括SiN(純氮化矽)、SiCN、SiCNH和SiNH,列舉一些。
在一些具體例中,該使用一在此所描述的研磨組成物的方法可進一步包括一或多個額外步驟以從該藉由該研磨組成物所處理的基材產生一半導體元件。例如,在上面所描述的研磨方法之前,該方法可包括一或多個下列步驟:(1)令氧化矽(例如,熱氧化矽)沉積在一基材(例如,一矽晶圓)上以形成一氧化矽層,(2)令氮化矽沉積在該氧化矽層上以形成一氮化矽層,(3)蝕刻該基材以形成溝槽和非溝槽區域,以及(4)令氧化矽沉積至該被蝕刻的基材俾以以氧化矽填充該等溝槽。作為另一個實例,在上面所描述的研磨方法之後,該方法可包括至少一額外步驟,諸如蝕刻該基材(例如,以移除氮化矽和氧化矽)俾以暴露矽和/或氧化矽或其他異質薄膜在該晶圓基材上。
實施例被提供以進一步例示說明本案揭示的研磨組成物和方法的能力。提供的實施例不被意欲並且應該不被解釋為限制本案揭示的範疇。除非另有指定,任
何被列出的百分比是以重量計(wt%)。在實施例中所描述的氮化物移除速率降低劑被獲得自各種不同的供應商並且可,在一些例子中,包括較小數量的具有要比在下表中指定者更小或更大的碳鏈長度的相似化合物。在表中指定的碳鏈長度鑑定該氮化物移除速率降低劑的主要組分。
在這個實施例中,被使用在樣品1A-1F的研磨組成物主要包括:3w/w%中性膠體二氧化矽磨料、丙二酸作為一pH調節劑、一氮化物移除速率降低劑(若存在),以及水作為一液體載體。該等研磨組成物的pH是2.3。一Applied Materials Mirra CMP研磨器以一為2psi的下壓力和一為175mL/min的流速而被使用以在一Dow VP6000墊上研磨200mm氧化矽(TEOS)和氮化矽(SiN)空白晶圓。
在表1的結果顯示:對照研磨組成物(其不包括一氮化物移除速率降低劑)具有一為8的在氧化矽與氮化矽之間的移除速率選擇性,其對於需要低氮化矽速率的多數應用是太低的。然而,具有一氮化物移除速率降低劑的添加,研磨組成物的氮化矽移除速率下降到低至1Å/min以及移除速率選擇性攀升到高至868。
在這個實施例中,被使用在樣品2A-2I的研磨組成物包括:3w/w%膠體二氧化矽磨料、一有機酸作為一pH調節劑、n-十八烷基膦酸,以及水作為一液體載體。n-十八烷基膦酸是在此所描述的氮化物移除速率降低劑的種類的代表。再者,在這個實施例中,膠體二氧化矽電荷藉由使用中性、陽離子和陰離子二氧化矽而被變化(如在表2所顯示的)。該等研磨組成物的pH自約2.25至約4.25而被變化。一Applied Materials Mirra CMP研磨器以一為2psi的下壓力和一為175mL/min的流速而被使用在一Dow VP6000墊上以研磨200mm氧化矽(TEOS)和氮化矽空白晶圓。
如在表2所顯示的,氮化物移除速率降低劑能夠控制以中性、陽離子和陰離子二氧化矽自一約2.25至約4.25的pH範圍的氮化矽移除速率。不管二氧化矽磨料的表面電荷,上面系統的健全氮化物速率降低是令人驚訝的。例如,通常被認為的是:陽離子磨料與陰離子氮化物移除速率降低劑具有貧乏的相容性。相反地,在這個系統中,漿料保持穩定並且氮化物移除速率降低劑保持活性。
慣常地,當使用陰離子磨料時氮化矽移除速率一般而言非常高(~400Å/min)和難以控制。顯著地,在此所描述的氮化物移除速率降低劑能夠顯著地降低氮化矽移除速率。當低的TEOS和氮化矽移除速率是所欲的與在一藉由陰離子磨料而被良好研磨的薄膜(例如,一碳化矽薄膜)上有高移除速率時,這個類型的系統可以是有用的。
在這個實施例中,被使用在樣品3A-3L的研磨組成物包括:3w/w%膠體二氧化矽磨料、丙二酸作為一pH調節劑、一被顯示在表3的氮化物移除速率降低劑,以及水作為一液體載體。該等研磨組成物的pH是2.25。特別地,被使用在樣品3A-3L的氮化物移除速率降低劑包括被描述在表3的頭類型和疏水物而沒有任何氧化伸烷基團。此外,被使用在樣品3I、3J和3K的氮化物移除速率降低劑包括界面活性劑的一混合物,其中月桂基/肉豆蔻基磷酸鹽/酯、硬脂基磷酸鹽/酯以及三十二烷基磷酸鹽/酯分別是主要組分。
一Applied Materials Mirra CMP研磨器以一為2psi的下壓力和一為175mL/min的流速而被使用在一Dow VP6000墊上以研磨200mm氧化矽(TEOS)和氮化矽空白晶圓。
如在表3所顯示的,在氮化物移除速率降低劑中疏水物的尺寸在決定氮化矽的速率降低的效力中扮演一重要的角色。表3顯示:在被試驗的試劑中,一為12或更大的鏈長在試驗條件下對於一有效的氮化物停止執行最好。在氮化物移除速率降低劑中一為12或更大的碳鏈長度(參見在表3的樣品3D、3E、3F、3G、3I、3J、3K和3L)確保低SiN RR(典型地<5A/min)並且對於TEOS:SiN RR產生高選擇性比率(>250)用於空白薄膜。因此,此等研磨組成物理想地適合用於STI CMP製程,其中氧化矽比氮化矽的一高選擇性比率是所欲的。
在這個實施例中,被使用在樣品4A-4C的研
磨組成物包括:3w/w%膠體二氧化矽磨料、一有機酸作為一pH調節劑、n-十八烷基膦酸,以及水作為一液體載體。該等研磨組成物的pH是在2與6.5之間。一Applied Materials Mirra CMP研磨器以一為2、3和4psi的下壓力和一為175mL/min的流速而被使用在一Dow IC1010墊上以研磨200mm高密度電漿(HDP)氧化矽、四乙基正矽酸鹽/酯氧化物(TEOS)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG),以及氮化矽塗佈的晶圓。
如在表4所顯示的,氧化矽薄膜(HDP、TEOS和BPSG)展現普雷斯頓行為(Prestonian behavior),而氮化矽移除速率展現非普雷斯頓行為並且不管施加的下壓力而維持良好的控制。在CMP語言中,移除速率的普雷斯頓行為意味:研磨速率隨著在研磨機的研磨壓力和/或角速度/rpm(每分鐘轉數)的增加而線性地增加。對於高速率標靶薄膜,一普雷斯頓行為是所欲的(在此的氧化矽薄膜)。一非普雷斯頓行為意味:研磨速率不隨在壓力或速度的變化而明顯地改變。非普雷斯頓行為是有點所欲的對於停止薄膜(在此的SiN)。如在表4所見的,隨著在下壓力的增加,氧化矽薄膜的移除速率線性地/普雷斯頓地增加(例
如,隨著在下壓力自2增加至3至4psi壓力,TEOS RR自1835增加至2324至3140A/min)。相反地,隨著在壓力的增加,SiN(停止薄膜)移除速率不明顯地改變(亦即,隨著在下壓力自2增加至3至4psi,SiN RR自4至2至1A/min波動)。此外,這個實施例證明:該等研磨組成物在如早先所定義的氧化矽家族薄膜上具有相似的行為。為了進一步說明,在表4中,我們描述3個氧化矽薄膜的實例:HDP、TEOS & BPSG。本案揭示的研磨組成物非常有效地作用於在所有不同類型的氧化矽薄膜上提供高的材料移除速率。使用不同種類的氮化矽薄膜(SiN、SiCN等等)的實例的等效實驗顯示如在表4所描繪的SiN薄膜上達到的那些相似的漿料停止行為。為了簡化,僅SiN薄膜速率被描繪在表4。
在這個實施例中,被使用在樣品5A-5C的研磨組成物包括:3w/w%膠體二氧化矽磨料、一有機酸作為一pH調節劑、一氮化物移除速率降低劑,以及水作為一液體載體。該等研磨組成物的pH是在2與6.5之間。一Applied Materials Mirra CMP研磨器以一為2psi的下壓力和一為175mL/min的流速而被使用在一Dow VP6000或Fujibo H800墊上以研磨200mm四乙基正矽酸鹽/酯氧化物(TEOS)和氮化矽(SiN)空白晶圓。
如在表5所顯示的,氮化物移除速率降低劑在氮化矽保護上具有一效用。在Dow VP6000墊(其具有一中等硬度)上,所有樣品(5A-5C)提供有效的氮化物保護,如由低SiN移除速率和TEOS/SiN移除速率選擇性所證明。然而,在Fujibo H800墊(其是一軟墊)上,僅含有具有長鏈飽和疏水物的氮化物移除速率降低劑的樣品(5A、5B)提供一有效的氮化物停止。因此,這個實施例證明:本案揭示的研磨組成物有效地作用在所有種類的研磨墊。進一步,這個實施例表明:當氮化物移除速率降低劑包括更長的疏水物、是更飽和的和/或更疏水性時,氮化物保護被增加的趨勢。
在這個實施例中,被使用在樣品6A-6D的研磨組成物包括:3w/w%膠體二氧化矽磨料、一有機酸作為一pH調節劑、n-十八烷基膦酸、陰離子凹陷降低聚合物(若存在),以及水作為一液體載體。該等研磨組成物的pH是3.0。一Applied Materials Mirra CMP研磨器以一為2psi的下壓力和一為175mL/min的流速而被使用在一Dow
VP6000墊上以研磨200mm STI 1氧化矽/氮化矽圖案化晶圓。在約50秒和一為20秒的過度研磨之後,該等晶圓藉由雷射測量而被最終指出。
如在表6所顯示的,陰離子凹陷降低聚合物的添加在控制氧化物凹陷是有效的,特別是在小特徵。樣品6A不包括凹陷降低劑,而樣品6B、6C & 6D包括3種不同類型的凹陷降低劑。如可從表6所見的,當相較於樣品6A時,對於樣品6B、6C和6D在5μm和20μm特徵這兩者上的氧化矽凹陷值是小得多。
在這個實施例中,被使用在樣品7A-7C的研磨組成物包括對應於一使用點配方的濃縮物:3w/w%中性膠體二氧化矽磨料、一有機酸和/或氫氧化鉀作為一pH調節劑、n-十八烷基膦酸,以及水作為一液體載體。單罐溶液含有研磨所需的所有組分,而二-部分系統含有除了有機酸以外的所有組分。平均粒徑(MPS)是漿料穩定性的一可靠指標。在不穩定的系統中,顆粒隨時間聚集,引起可測量的MPS成長。MPS在一Malvern工具上使用動態光散射技術而被測量。漿料被儲存在一設定至60℃的烘箱中並
且每7天被測量。根據用於加速老化試驗的阿瑞尼斯關係(Arrhenius relationship),21天的完整試驗運行對應於大約一年的室溫老化。換句話說,若漿料被保持在60℃歷時21天並且二氧化矽的MPS沒有明顯地成長,可被證明的是:漿料具有一為1年的即時儲存期/有效期。
如在表7所顯示的,所有配方遍及全部的試驗運行是穩定的。在關於中性二氧化矽的酸性區域的穩定性典型地難以達到。單罐溶液在一為2x濃度(被顯示在表7的選擇數據)和在其他濃度位準(例如,3x、4x和上達10x濃縮物)(未被顯示)下自約2至約6.5的pH是穩定的。在二-部分溶液(7C)中,除了酸以外的所有組分可被濃縮至一更大的程度並且維持穩定(上達10x亦維持穩定)。在使用的點,酸和水會被添加以在一研磨工具上運行它之前重構漿料。
在這個實施例中,被使用在樣品8A、8B和8C的研磨組成物(其含有一膠體二氧化矽磨料和一被顯示在表1、3和5的氮化物移除速率降低劑)被使用以研磨200mm STI圖案化晶圓,其中圖案化氮化矽被填充以如在圖2所顯示的高密度氧化矽。在氮化矽的圖案是藉此一具有線
間隔(line space)、方形、格子的廣泛陣列,以及具有變化的間距和密度的篩網陣列(mesh arrays)被排列遍及整個晶圓面。
研磨發生在一Applied Materials 200mm Mirra研磨工具,其裝配以一DowDupont VP6000墊、3M A165 CIP1調節盤,並且採用一個2PSI晶圓背壓。研磨時間根據藉由電動機轉矩和紅雷射(650nm)吸光度這兩者的原位終點偵測而被改變。在研磨期間,在這兩個終點信號內的特徵可被觀察到,指示在薄膜堆疊的主動線中的氧化矽的移除和下面的氮化矽的暴露。圖案化氧化矽移除速率是根據在氮化矽的暴露之前被移除的材料的數量除以研磨時間而被計算。相反地,圖案化氮化矽移除速率是根據被移除的材料的數量除以在它已被暴露至該研磨組合物之後的時間而被計算。在完成研磨後,晶圓經由200mm OnTrack後CMP清潔工具(來自Lam Research公司)使用Fujifilm Wako 8901後-CMP清潔化學品而被清潔。所有晶圓的薄膜厚度測量(例如,以測定移除速率)使用一KLA Tencor F5X橢圓偏光計而被測量。
從在表8所呈現的數據,先前在空白晶圓上所觀察到的在氧化矽和氮化矽材料移除速率之間的高選擇性亦在含有氧化矽(頂部)和氮化矽(底部)這兩者的圖案化晶圓上被觀察到。如可在表8所見的,關於樣品8A,氧化矽比氮化矽選擇性自86一路變化至190,視圖案尺寸、密度和間距而定。關於樣品8B,氧化矽比氮化矽選擇性是54,而關於樣品8C,選擇性是4。表8僅提供在圖案化晶圓上的性能的代表性實例。在我們的內部實驗中,選擇性比率已被觀察到在圖案化試驗晶圓上自3(其對於圖案化晶圓被認為令人滿意的)一路變化至約1000,視薄膜複雜性而定。此外,在此所呈現的含有氮化物移除速率降低劑的研磨組成物的選擇性超越在先前技藝所呈現的許多舊有的、工業標準的、商業上可獲得的氧化鈰為基礎的STI研磨組成物。
在這個實施例中,為了定量在終端的氧化矽
凹陷/階梯高度和氮化矽腐蝕/損失,相似於被使用在實施例8的一者的圖案化晶圓在一Park Systems AFM工具中被測量。被使用在樣品9A和9B的研磨組成物含有一被顯示在表1、3和5的氮化物移除速率降低劑,並且被使用以研磨圖案化晶圓(其堆疊被描繪在圖2)。氧化矽凹陷/階梯高度和氮化矽腐蝕/損失結果被顯示在表9。平坦化效率(PE)呈百分比被報告並且相等於在氧化矽階梯高度的變化除以在研磨期間被移除的氧化物的數量接著乘以一百(轉換成百分比)。
如可在表9所見的,氧化矽凹陷和氮化矽腐蝕是非常小的。典型地,關於凹陷和腐蝕,非常低的數目是較佳的。凹陷和腐蝕數目代表在圖案化晶圓的CMP研磨後的最終形貌的平坦度。因此,這些數目的一低值(呈Å)是所欲的,因為這些數目測量在一圖案化晶圓中在含有複數個薄膜類型的晶圓上的薄膜的峰和谷的分離。數目越低,存在於峰和溝槽之間的分離越少,意味晶圓表面更平坦,其是在半導體製造中CMP製程步驟的總體目標。理想地,一個零凹陷和腐蝕值是較佳的(意味完全地平坦的晶圓
表面)。然而,慣常地,這些數目一般而言在實際元件/產品圖案化晶圓上呈數百或數千的Å值。因此,在表9所顯示的數據指示:該等研磨組成物提供一獨特/非凡的性能在遞送非常低的凹陷和腐蝕值並且因此圖案化晶圓的非常好的形貌。如可在表9所見的,氧化矽凹陷可以低至35Å和高至375Å。SiN腐蝕要比凹陷好得多,因為腐蝕數目低至30Å和高至74Å。再者,這些是代表性實例,並且在我們的實驗中我們已看見這些凹陷和腐蝕數目高至1000Å和低至1Å,其對於這個發明的目的仍然是令人滿意的並且是半導體製造商可接受的。
關於平坦化效率(PE),數目越大,結果越好。理想的,一為100%的PE是所欲的,因為數值意指整個晶圓已被平坦化並且是平坦的,亦即,在峰和谷之間沒有階梯高度。從在表9的數據,可被看見的是:PE從一為14%的低值一路變化至74%。因此,這些研磨組成物在圖案化晶片上提供良好的平坦化效率。
再者,在表9所呈現的數據顯示:在此存在的研磨組成物超越目前技藝的商業上可獲得的氧化鈰為基礎的STI研磨組成物的氧化物凹陷、氮化矽腐蝕和平坦化效率。
在這個實施例中,相似於被使用在實施例8和9的一者的圖案化晶圓的缺陷率在一KLA-AIT XUV缺陷計數工具中藉由使用一商業的氧化鈰為基礎的STI配方
和被描述在實施例8的組成物8A(其是一含有氮化物移除速率降低劑的二氧化矽為基礎的研磨組成物)而被測量。關於一藉由使用組成物8A而被研磨的晶圓的晶圓圖譜被呈現在圖3。關於一藉由使用商業的氧化鈰-為基礎的STI研磨組成物而被研磨的晶圓的晶圓圖譜被呈現在圖4。
如由圖4所證明的,氧化鈰-為基礎的配方傾向於嚴重的弧刮傷與許多缺陷散布遍及晶圓(總缺陷計數大於10,000)-起因於磨料的相對硬度和尺寸。缺陷的仔細檢查顯示:有許多巨大和微小的刮痕伴隨許多殘餘物,其中許多可被認為是整體元件致命缺陷。然而,圖3顯示:含有高純度膠體二氧化矽作為磨料的研磨組成物8A要比氧化鈰為基礎的組成物(圖4)具有遠遠更少的刮傷。確實,二氧化矽研磨組成物顯示接近“無缺陷”和一乾淨的表面。對於在尺寸上至少90nm的缺陷,總缺陷計數大概175個。缺陷是最終元件產率和可售晶片的生產的關鍵。在圖4所顯示的圖案化晶圓中,假設每個圖案化晶圓有1000個晶粒(各個正方形)。若缺陷是一元件致命缺陷,具有一缺陷的各個晶粒可證明為不可售的。因此,因為氧化鈰-為基礎的研磨組成物顯示一高數量的缺陷,每個晶圓的可售晶片的它的產率會是較低的。相反地,關於本案揭示的研磨組成物,缺陷顯著地較少並且因此每個晶圓的可售晶片的產率顯著地較高。
因此,藉由使用本案揭示的研磨組成物所獲得的低缺陷率對半導體公司非常有吸引力,因為那個增加
它們收入的頂線和底線。從一技術觀點,氧化鈰磨料本質上是無機的(例如,鈰鑭系金屬為基礎的氧化物),並且一般而言是硬的和在尺寸上要比二氧化矽磨料更大,因此它們傾向於提供一大數量的刮痕和缺陷在晶圓表面上。相反地,膠體二氧化矽磨料本質上是有機的(矽非金屬為基礎的氧化物並且呈膠體分散形式),並且一般而言是軟的以及因此在研磨期間不產生刮痕或缺陷。
熟習此技藝者沒有已能夠發展一個具有令人滿意的氧化矽超過氮化矽的移除選擇性的二氧化矽為基礎的STI研磨組成物。如在此所揭示的,本發明人已發現二氧化矽和氮化矽移除速率降低劑的一協同作用,其可供應給工業一個二氧化矽為基礎的STI研磨組成物。此外,在本案所描述的發明可被應用至不是二氧化矽的磨料(諸如氧化鋁、氧化鈦等等)。
雖然本案已描述關於在此所提到的實施例,被瞭解的是:其他修飾和變化是可能的而沒有背離如在隨文檢附的申請專利範圍所定義的本案揭示的精神和範疇。
Claims (20)
- 一種研磨組成物,其包含:至少一磨料;至少一含有下列的氮化物移除速率降低劑:一含有一C12至C40烴基團的疏水部分;和一含有至少一選自於由一亞磺酸鹽/酯基團、一硫酸鹽/酯基團、一磺酸鹽/酯基團、一羧酸鹽/酯基團、一磷酸鹽/酯基團和一膦酸鹽/酯基團所構成群組的基團的親水部分;以及其中該疏水部分和該親水部分藉由0至10個氧化伸烷基團而被分開;一酸或一鹼;以及水;其中該研磨組成物具有一約2至約6.5的pH值。
- 如請求項1的研磨組成物,其進一步包含:至少一凹陷降低劑;其中該至少一凹陷降低劑是一含有至少一選自於由下列所構成群組的基團的化合物:羥基、硫酸鹽/酯、膦酸鹽/酯、磷酸鹽/酯、磺酸鹽/酯、胺、硝酸鹽/酯、亞硝酸鹽/酯、羧酸鹽/酯,以及碳酸鹽/酯基團。
- 如請求項1的研磨組成物,其中該至少一凹陷降低劑是選自於由一多醣以及一經取代多醣所構成群組的至少一者。
- 如請求項1的研磨組成物,其中該至少一 凹陷降低劑包含有鹿角菜膠、三仙膠、羥丙基纖維素、甲基纖維素、乙基纖維素、羥丙基甲基纖維素,或羧甲基纖維素。
- 如請求項1的研磨組成物,其中該疏水部分含有一C12至C32烴基團。
- 如請求項1的研磨組成物,其中該疏水部分含有一C16至C22烴基團。
- 如請求項1的研磨組成物,其中該親水部分含有一磷酸鹽/酯基團或一膦酸鹽/酯基團。
- 如請求項1的研磨組成物,其中該至少一氮化物移除速率降低劑是選自於由下列所構成的群組:萘磺酸-福馬林縮合物(napthalenesulfonic acid-formalin condensate)、月桂基磷酸鹽/酯(lauryl phosphate)、肉豆蔻基磷酸鹽/酯(myristyl phosphate)、硬脂基磷酸鹽/酯(stearyl phosphate)、十八烷基膦酸(octadecylphosphonic acid)、油基磷酸鹽/酯(oleyl phosphate)、二十二烷基磷酸鹽/酯(behenyl phosphate)、十八烷基硫酸鹽/酯(octadecyl sulfate)、三十二烷基磷酸鹽/酯(lacceryl phosphate)、油醇聚醚-3-磷酸鹽/酯(oleth-3-phosphate),以及油醇聚醚-10-磷酸鹽/酯(oleth-10-phosphate)。
- 如請求項1的研磨組成物,其中該至少一氮化物移除速率降低劑具有0個氧化伸烷基團分開該疏水部分和該親水部分。
- 如請求項2的研磨組成物,其中該至少一 氮化物移除速率降低劑和該至少一凹陷降低劑彼此是化學上不同的。
- 如請求項1的研磨組成物,其中該研磨組成物具有一至少約3:1的氧化矽移除速率比氮化矽移除速率的比例。
- 如請求項1的研磨組成物,其中該研磨組成物具有一至少約100:1的氧化矽移除速率比氮化矽移除速率的比例。
- 如請求項1的研磨組成物,其中該至少一磨料是選自於由下列所構成的群組:陽離子磨料、實質上中性磨料,以及陰離子磨料。
- 如請求項1的研磨組成物,其中該至少一磨料是選自於由下列所構成的群組:氧化鋁(alumina)、二氧化矽(silica)、氧化鈦(titania)、氧化鈰(ceria)、氧化鋯(zirconia)、其等的共形成產物、塗佈的磨料、表面修飾的磨料,以及其等的混合物。
- 如請求項1的組成物,其中該酸是選自於由下列所構成的群組:甲酸、乙酸、丙二酸、檸檬酸、丙酸、蘋果酸、己二酸、琥珀酸、乳酸、草酸、羥基亞乙基二膦酸、2-膦醯基-1,2,4-丁烷三羧酸、胺基三亞甲基膦酸、六亞甲基二胺四(亞甲基膦酸)、雙(六亞甲基)三胺膦酸、胺基乙酸、過乙酸、乙酸鉀、苯氧基乙酸、甘胺酸、二羥乙甘胺酸(bicine)、二甘醇酸(diglycolic acid)、甘油酸(glyceric acid)、三(羥甲基)甲基甘胺酸(tricine)、丙胺酸、 組胺酸、纈胺酸、苯基丙氨酸,脯胺酸、麩醯胺、天冬胺酸、麩胺酸、精胺酸、離胺酸、酪胺酸、苯甲酸、硝酸、硫酸、亞硫酸、磷酸、膦酸、鹽酸、過碘酸,以及其等的混合物。
- 如請求項1的組成物,其中該鹼是選自於由下列所構成的群組:氫氧化鉀、氫氧化鈉、氫氧化銫、氫氧化銨、三乙醇胺、二乙醇胺、單乙醇胺、氫氧化四丁基銨、氫氧化四甲銨、氫氧化鋰、咪唑、三唑、胺基三唑、四唑、苯并三唑、甲苯基三唑、吡唑、異噻唑,以及其等的混合物。
- 一種研磨基材之方法,其包含:施加如請求項1的研磨組成物至一基材,該基材具有至少一氮化矽和至少一氧化矽在基材的一表面上;以及令一墊與該基材的該表面接觸並且令該墊相對於該基材移動。
- 如請求項17的方法,其中該氮化矽和氧化矽的至少一者被摻雜有至少一選自於由碳、氮、氧以及氫所構成群組的摻雜物。
- 如請求項17的方法,其進一步包含從該基材形成一半導體元件。
- 如請求項18的方法,其進一步包含從該基材形成一半導體元件。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862781648P | 2018-12-19 | 2018-12-19 | |
US62/781,648 | 2018-12-19 | ||
US16/356,669 US10759970B2 (en) | 2018-12-19 | 2019-03-18 | Polishing compositions and methods of using same |
US16/356,669 | 2019-03-18 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202024285A TW202024285A (zh) | 2020-07-01 |
TWI719463B true TWI719463B (zh) | 2021-02-21 |
Family
ID=66625751
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111103937A TWI836341B (zh) | 2018-12-19 | 2019-04-29 | 研磨組成物及其使用方法 |
TW110101387A TWI758069B (zh) | 2018-12-19 | 2019-04-29 | 研磨組成物及其使用方法 |
TW108114931A TWI719463B (zh) | 2018-12-19 | 2019-04-29 | 研磨組成物及其使用方法 |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW111103937A TWI836341B (zh) | 2018-12-19 | 2019-04-29 | 研磨組成物及其使用方法 |
TW110101387A TWI758069B (zh) | 2018-12-19 | 2019-04-29 | 研磨組成物及其使用方法 |
Country Status (8)
Country | Link |
---|---|
US (2) | US10759970B2 (zh) |
EP (1) | EP3670621B1 (zh) |
JP (1) | JP2022514788A (zh) |
KR (4) | KR102303864B1 (zh) |
CN (1) | CN111334194A (zh) |
SG (1) | SG11202106584QA (zh) |
TW (3) | TWI836341B (zh) |
WO (1) | WO2020131153A1 (zh) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10763119B2 (en) | 2018-12-19 | 2020-09-01 | Fujifilm Electronic Materials U.S.A., Inc. | Polishing compositions and methods of using same |
KR20210018607A (ko) * | 2019-08-06 | 2021-02-18 | 삼성디스플레이 주식회사 | 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치 |
US11680186B2 (en) * | 2020-11-06 | 2023-06-20 | Fujifilm Electronic Materials U.S.A., Inc. | Polishing compositions and methods of using same |
EP4314178A1 (en) * | 2021-03-26 | 2024-02-07 | FUJIFILM Electronic Materials U.S.A, Inc. | Polishing compositions and methods of using the same |
CN113913115B (zh) * | 2021-10-20 | 2022-09-06 | 博力思(天津)电子科技有限公司 | 一种硅通孔阻挡层碱性抛光液 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201641663A (zh) * | 2015-03-10 | 2016-12-01 | Hitachi Chemical Co Ltd | 樹脂研磨用研磨劑、研磨劑用儲藏液及研磨方法 |
TW201726844A (zh) * | 2016-01-06 | 2017-08-01 | 卡博特微電子公司 | 具催化劑的鎢加工漿液 |
Family Cites Families (32)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100464748B1 (ko) * | 1996-09-27 | 2005-01-05 | 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 | 복합재의 연마용 조성물 및 연마 방법 |
US5738800A (en) | 1996-09-27 | 1998-04-14 | Rodel, Inc. | Composition and method for polishing a composite of silica and silicon nitride |
US5759917A (en) * | 1996-12-30 | 1998-06-02 | Cabot Corporation | Composition for oxide CMP |
FR2785614B1 (fr) * | 1998-11-09 | 2001-01-26 | Clariant France Sa | Nouveau procede de polissage mecano-chimique selectif entre une couche d'oxyde de silicium et une couche de nitrure de silicium |
JP2002231666A (ja) * | 2001-01-31 | 2002-08-16 | Fujimi Inc | 研磨用組成物およびそれを用いた研磨方法 |
JPWO2002067309A1 (ja) * | 2001-02-20 | 2004-06-24 | 日立化成工業株式会社 | 研磨剤及び基板の研磨方法 |
US6455417B1 (en) | 2001-07-05 | 2002-09-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer |
US20040123528A1 (en) * | 2002-12-30 | 2004-07-01 | Jung Jong Goo | CMP slurry for semiconductor device, and method for manufacturing semiconductor device using the same |
US7071105B2 (en) | 2003-02-03 | 2006-07-04 | Cabot Microelectronics Corporation | Method of polishing a silicon-containing dielectric |
TWI288046B (en) | 2003-11-14 | 2007-10-11 | Showa Denko Kk | Polishing composition and polishing method |
JP4316406B2 (ja) | 2004-03-22 | 2009-08-19 | 株式会社フジミインコーポレーテッド | 研磨用組成物 |
US7988878B2 (en) | 2004-09-29 | 2011-08-02 | Rohm And Haas Electronic Materials Cmp Holdings, Inc. | Selective barrier slurry for chemical mechanical polishing |
JP2006179678A (ja) * | 2004-12-22 | 2006-07-06 | Hitachi Chem Co Ltd | 半導体絶縁膜用cmp研磨剤及び基板の研磨方法 |
JP5153623B2 (ja) | 2006-05-16 | 2013-02-27 | 昭和電工株式会社 | 研磨組成物の製造方法 |
KR101256551B1 (ko) * | 2008-03-06 | 2013-04-19 | 주식회사 엘지화학 | Cmp 슬러리 및 이를 이용한 연마 방법 |
JP2012109287A (ja) * | 2009-03-13 | 2012-06-07 | Asahi Glass Co Ltd | 半導体用研磨剤、その製造方法及び研磨方法 |
KR101172647B1 (ko) * | 2009-10-22 | 2012-08-08 | 히다치 가세고교 가부시끼가이샤 | 연마제, 농축 1액식 연마제, 2액식 연마제 및 기판의 연마 방법 |
US8491808B2 (en) | 2010-03-16 | 2013-07-23 | Rohm And Haas Electronic Materials Cmp Holdings, Inc. | Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride |
US8273142B2 (en) * | 2010-09-02 | 2012-09-25 | Cabot Microelectronics Corporation | Silicon polishing compositions with high rate and low defectivity |
CN102559058B (zh) * | 2010-12-21 | 2015-05-27 | 安集微电子(上海)有限公司 | 一种化学机械抛光液 |
JP2013045944A (ja) * | 2011-08-25 | 2013-03-04 | Hitachi Chemical Co Ltd | 基板の研磨方法 |
DE102011089221A1 (de) | 2011-12-20 | 2013-06-20 | Henkel Ag & Co. Kgaa | Färbemittel mit direktziehenden Farbstoffen und Phospat-Tensiden |
KR20150083085A (ko) | 2012-11-02 | 2015-07-16 | 가부시키가이샤 후지미인코퍼레이티드 | 연마용 조성물 |
CN103834305B (zh) | 2012-11-22 | 2017-08-29 | 安集微电子(上海)有限公司 | 一种化学机械抛光液 |
JP2014130957A (ja) * | 2012-12-28 | 2014-07-10 | Kao Corp | 半導体基板用研磨液組成物 |
US9752057B2 (en) * | 2014-02-05 | 2017-09-05 | Cabot Microelectronics Corporation | CMP method for suppression of titanium nitride and titanium/titanium nitride removal |
EP3209815B1 (en) * | 2014-10-21 | 2021-12-29 | CMC Materials, Inc. | Corrosion inhibitors and related compositions and methods |
JP6538368B2 (ja) | 2015-02-24 | 2019-07-03 | 株式会社フジミインコーポレーテッド | 研磨用組成物及び研磨方法 |
JP6582567B2 (ja) * | 2015-06-03 | 2019-10-02 | 日立化成株式会社 | スラリー及びその製造方法、並びに、研磨方法 |
KR102463863B1 (ko) | 2015-07-20 | 2022-11-04 | 삼성전자주식회사 | 연마용 조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US10759969B2 (en) | 2016-03-25 | 2020-09-01 | Fujimi Incorporated | Polishing composition, polishing method, and method for manufacturing semiconductor substrate |
US10119048B1 (en) | 2017-07-31 | 2018-11-06 | Rohm And Haas Electronic Materials Cmp Holdings, Inc. | Low-abrasive CMP slurry compositions with tunable selectivity |
-
2019
- 2019-03-18 US US16/356,669 patent/US10759970B2/en active Active
- 2019-04-24 WO PCT/US2019/028924 patent/WO2020131153A1/en active Application Filing
- 2019-04-24 SG SG11202106584QA patent/SG11202106584QA/en unknown
- 2019-04-24 JP JP2021536268A patent/JP2022514788A/ja active Pending
- 2019-04-29 TW TW111103937A patent/TWI836341B/zh active
- 2019-04-29 TW TW110101387A patent/TWI758069B/zh active
- 2019-04-29 TW TW108114931A patent/TWI719463B/zh active
- 2019-05-08 EP EP19173321.1A patent/EP3670621B1/en active Active
- 2019-05-10 KR KR1020190054845A patent/KR102303864B1/ko active IP Right Grant
- 2019-08-30 CN CN201910812821.8A patent/CN111334194A/zh active Pending
-
2020
- 2020-07-14 US US16/928,169 patent/US20200339837A1/en not_active Abandoned
-
2021
- 2021-09-13 KR KR1020210121586A patent/KR102499874B1/ko active IP Right Grant
-
2023
- 2023-02-09 KR KR1020230017155A patent/KR102639156B1/ko active IP Right Grant
-
2024
- 2024-02-16 KR KR1020240022574A patent/KR20240025577A/ko active Application Filing
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201641663A (zh) * | 2015-03-10 | 2016-12-01 | Hitachi Chemical Co Ltd | 樹脂研磨用研磨劑、研磨劑用儲藏液及研磨方法 |
TW201726844A (zh) * | 2016-01-06 | 2017-08-01 | 卡博特微電子公司 | 具催化劑的鎢加工漿液 |
Also Published As
Publication number | Publication date |
---|---|
TWI836341B (zh) | 2024-03-21 |
KR20240025577A (ko) | 2024-02-27 |
CN111334194A (zh) | 2020-06-26 |
KR20200077372A (ko) | 2020-06-30 |
KR20210117233A (ko) | 2021-09-28 |
KR102303864B1 (ko) | 2021-09-17 |
TWI758069B (zh) | 2022-03-11 |
TW202024285A (zh) | 2020-07-01 |
TW202116970A (zh) | 2021-05-01 |
WO2020131153A1 (en) | 2020-06-25 |
US20200339837A1 (en) | 2020-10-29 |
EP3670621A1 (en) | 2020-06-24 |
JP2022514788A (ja) | 2022-02-15 |
US10759970B2 (en) | 2020-09-01 |
KR102499874B1 (ko) | 2023-02-13 |
KR20230022939A (ko) | 2023-02-16 |
KR102639156B1 (ko) | 2024-02-20 |
TW202223060A (zh) | 2022-06-16 |
SG11202106584QA (en) | 2021-07-29 |
EP3670621B1 (en) | 2023-10-11 |
US20200199409A1 (en) | 2020-06-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI749324B (zh) | 研磨組成物及其使用方法 | |
TWI719463B (zh) | 研磨組成物及其使用方法 | |
US20230265313A1 (en) | Polishing Compositions and Methods of Using Same | |
KR102703135B1 (ko) | 연마제 조성물 및 이의 사용 방법 | |
JP7557532B2 (ja) | 高い酸化物除去速度を有するシャロートレンチアイソレーション化学的機械平坦化組成物 | |
WO2023195338A1 (ja) | 化学的機械研磨用組成物および該組成物を使用する方法 |