JP2016526279A - 空間的に分散されたガス流路を有する流量制御ライナー - Google Patents

空間的に分散されたガス流路を有する流量制御ライナー Download PDF

Info

Publication number
JP2016526279A
JP2016526279A JP2016511757A JP2016511757A JP2016526279A JP 2016526279 A JP2016526279 A JP 2016526279A JP 2016511757 A JP2016511757 A JP 2016511757A JP 2016511757 A JP2016511757 A JP 2016511757A JP 2016526279 A JP2016526279 A JP 2016526279A
Authority
JP
Japan
Prior art keywords
ring
gas flow
liner assembly
flow paths
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016511757A
Other languages
English (en)
Other versions
JP2016526279A5 (ja
JP6368773B2 (ja
Inventor
メフメト トゥールル サミール,
メフメト トゥールル サミール,
シュー−クワン ラウ,
シュー−クワン ラウ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016526279A publication Critical patent/JP2016526279A/ja
Publication of JP2016526279A5 publication Critical patent/JP2016526279A5/ja
Application granted granted Critical
Publication of JP6368773B2 publication Critical patent/JP6368773B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87265Dividing into parallel flow paths with recombining

Abstract

本開示の実施形態は、複数の個々に分離されたガス流路を含むライナーアセンブリを提供する。ライナーアセンブリにより、処理される基板を横断する速度、密度、方向及び空間配置などのフローパラメータの同調性が有効となる。処理される基板を横断する処理ガスは、本開示の実施形態により、ライナーアセンブリによって個々の処理に対して特別に調整されうる。【選択図】図1B

Description

本開示の実施形態は、概して、半導体基板を処理する装置及び方法に関する。具体的には、本開示の実施形態は、処理チャンバ内のガス流分布を改善するための装置及び方法に関する。
半導体デバイスを製造するための幾つかの処理、例えば、急速熱処理、エピタキシャル堆積、化学気相堆積、物理的気相堆積、電子ビーム硬化は、高温で実行される。通常、処理される基板は、処理チャンバ内で一又は複数の熱源によって、所望の温度まで加熱される。一又は複数の熱源は、熱源によって生成されるエネルギーが、チャンバ本体内に配置される基板上で放射されるように、一般的にチャンバ本体の外側に装着される。処理ガスは通常、ガス注入口からチャンバに供給され、処理チャンバに結合されたポンピングシステムによって、チャンバ本体内を流れ続ける。従来のチャンバ内のガス分布は、処理領域全体にわたって一様なわけではない。例えば、ガス注入口近傍のガス分布はポンピングポート近傍のガス分布とは異なり、エッジ領域近傍のガス分布は中心領域近傍のガス分布とは異なる。基板の連続回転はガス分布の非均一性を低減するが、回転だけでは均一性を高めるための要件として十分ではない。
したがって、ガス流分布が改善された熱処理チャンバが必要となっている。
本開示の実施形態は、概して、一又は複数の基板を高温で処理するための装置及び方法を提供する。具体的には、本開示の実施形態は、一又は複数の処理ガスを処理チャンバに分布させる装置及び方法に関する。
本開示の一実施形態は、基板処理チャンバの内面を保護するためのライナーアセンブリを提供する。ライナーアセンブリは、基板処理チャンバの内面及び基板処理容積を画定する内面によって収容されるように大きさが決められた外面を有するリング形状の本体を含む。リング形状の本体は、基板処理容積の外面に結合される複数のガス流路を含み、複数のガス流路の各々はガス注入口に結合され、ガス流を調整するように設計されている。
本開示の一実施形態は、基板を処理する方法および装置を提供する。装置は、チャンバ内部を形成するチャンバ本体を含み、チャンバ本体は、対向する側面に形成される注入開口部と排気開口部、及び注入開口部と排気開口部との間に形成される基板開口部を含む。装置はまた、注入開口部に配置されるガス注入口と、チャンバ内部に配置される基板支持体と、チャンバ本体の内面を保護し、ガス注入口のガス流を調整するためのライナーアセンブリとを含む。ライナーは、チャンバ本体の内面及び基板処理容積を画定する内面によって収容されるように大きさが決められた外面を有するリング形状の本体を備え、リング形状の本体は、基板処理容積の外面に結合される複数のガス流路を含み、複数のガス流路の各々はガス注入口と結合し、ガス流を調整するように設計されている。
本開示の別の実施形態は、基板を処理する方法を提供する。方法は、複数の加熱素子からの放射エネルギーを基板処理チャンバ内部に配向すること、及び処理チャンバ内に配置されるライナーアセンブリ内に形成される複数のガス流路を使用して処理ガスの流れを調整することを含む。ライナーアセンブリは、チャンバ本体の内面及び基板処理容積を画定する内面によって収容されるように大きさが決められた外面を有するリング形状の本体を備え、リング形状の本体は、外面を基板処理容積に結合する複数のガス流路を含み、複数のガス流路の各々はガス注入口に結合し、ガス流を調整するように設計されている。
従って、本開示の上述の特徴を詳細に理解しうる方法、上記で簡単に要約した本開示のより具体的な説明は、実施形態を参照することによって得られ、実施形態の一部は添付の図面に示されている。しかし、本開示は他の等しく有効な実施形態も許容しうるため、添付の図面は、この開示の典型的な実施形態のみを示しており、従って、本開示の範囲を限定すると見なされるべきではないことに、留意されたい。
本開示の一実施形態による処理チャンバの概略的な側面断面図である。 図1Aの処理チャンバの概略的な上部断面図である。 本開示の一実施形態によるライナーアセンブリの概略的な側面断面図である。 図2Aのライナーアセンブリの第2の概略的な側面断面図である。 本開示の一実施形態によるライナーアセンブリの部分側面断面図である。 本開示の一実施形態によるライナーアセンブリの部分側面断面図である。 本開示の一実施形態によるライナーアセンブリの部分側面断面図である。 図5Aのライナーアセンブリの概略的な上面図である。 本開示の別の実施形態によるライナーアセンブリの概略的な部分上面図である。
理解を容易にするため、可能な場合には、図に共通する同一の要素を示すために同一の参照番号を使用した。一実施形態の要素および特徴は、更なる記述がなくても、他の実施形態に有益に組み込むことができることが企図される。
上述の説明では、説明の目的のために、本開示の完全な理解を促すために、多数の詳細が記載されている。幾つかの例では、詳細にするのではなく、むしろ本開示を不明確にするのを避けるため、よく知られている構造及び装置がブロック図の形態で示されている。これらの実施形態は、当業者が本開示を実施することができるように十分詳細に記述されているが、他の実施形態も実現しうること、更には本開示の範囲を逸脱することなく、論理的、機械的、電気的、及び他の変更を行いうることを理解されたい。
本開示の実施形態は、複数の個々に分離されたガス流路を有するライナーアセンブリを提供する。ライナーアセンブリにより、処理される基板を横断する速度、密度、方向及び空間配置などのフローパラメータの同調性が有効となる。処理される基板を横断する処理ガスは、本開示の実施形態によるライナーアセンブリを用いて、個々の処理に対して特別に調整されてもよい。本開示の実施形態によるライナーアセンブリは、従来のライナーと比較して、ガス注入経路での圧力低下を最小限に抑える利点を有する。本開示の一実施形態は、圧力低下を低減するために角度をつけた、或いは短くした流路を有するライナーアセンブリを含む。本開示によるライナーアセンブリの別の利点は、流路のフローコンダクタンスを調整及び/又は変更できることである。一実施形態では、ライナーアセンブリは様々なサイズの複数のガス流路を含んでもよく、これにより複数のガス流路の各々を通過する様々なフローコンダクタンスを提供する。ライナーアセンブリ内の複数のガス流路の空間分布はまた、処理チャンバ内に調整されたフローを実現するように設計されている。
本開示の実施形態によるライナーアセンブリは、複数の処理ガスが、処理される基板の近傍に到達する前に、混ざるのを防止する別の利点を有することがある。加えて、本開示の実施形態によるライナーアセンブリはまた、ガングリリング(gun grilling)、拡散結合及び溶接済みプラグの使用などによる直接的な方法を用いて製造しうる利点を有する。
図1Aは、本開示の一実施形態による処理チャンバ100の概略的な断面図である。図1Bは、処理チャンバ100の概略的な上部断面図である。処理チャンバ100は、基板108の上面116での材料の堆積を含む一又は複数の基板を処理するために使用されてもよい。処理チャンバ100は、放射加熱ランプ102のアレイ、その他の構成要素として、処理チャンバ100内に配置される基板支持体106の背面104を含んでもよい。幾つかの実施形態では、放射加熱ランプ102は上方ドーム128の上方に配置されてもよい。基板支持体106は、図に示したように、中央開口部を有する円板状の基板支持体106であってもよい。代替的に、基板支持体106は環状の基板支持体であってもよく、これにより、複数の放射加熱ランプ102の熱放射への基板の曝露を促進するため基板のエッジから基板を支持する。
基板支持体106は、上方ドーム128と下方ドーム114との間の処理チャンバ100内に配置される。ベースリング136は上方ドーム128と下方ドーム114との間に配置されてもよい。上方ドーム128、下方ドーム114及びベースリング136は、一般的に処理チャンバ100の内側領域を画定する。基板108(縮尺どおりではない)は、図1Bに示すように、処理チャンバ100内に持ち込まれ、ローディングポート103を経由して基板支持体106上に配置されうる。
図1Aでは、基板支持体106は処理位置に示されている。基板支持体106は、リフトピン105が下方ドーム114に接することができるように、処理位置下方のローディング位置まで垂直移動され、基板支持体106及び中央シャフト132の孔を通り、基板108を基板支持体106から持ち上げてもよい。基板支持体106は、処理位置に配置されている間に、処理チャンバ100の内部容積を、基板支持体106上方の処理ガス領域156と基板支持体106下方のパージガス領域158とに分割する。基板支持体106は、処理中に、処理チャンバ100内の熱及び処理ガス流の空間的な偏りの影響を最小限に抑えるため、中央シャフト132によって回転され、これにより基板108の一様な処理を促進する。基板支持体106は中央シャフト132によって支持され、中央シャフトは、基板108の装填中及び取り出し中、場合によっては処理中に、方向134に沿って基板108を上下に移動する。基板支持体106は、放射加熱ランプ102からの放射エネルギーを吸収し、放射エネルギーを基板108に伝導するため、シリコンカーバイド又はシリコンカーバイドを塗装したグラファイトから形成されてもよい。
一般的に、上方ドーム128の中央窓部分及び下方ドーム114の底部は、石英などの光学的に透明な材料で形成されている。放射加熱ランプ102のアレイなど、一又は複数のランプは、処理ガスが通過する際に、基板108の様々な領域で温度を独立に制御するため、規定の方法で中央シャフト132の周りで下方ドーム114に隣接して真下に配置されてもよく、これによって、基板108の上面116への材料の堆積を促進する。ここでは詳細に議論されていないが、堆積された材料は砒化ガリウム、窒化ガリウム、又は窒化ガリウムアルミニウムを含んでもよい。
放射加熱ランプ102は、基板108を摂氏約200度から摂氏約1600度の範囲内の温度まで加熱するように構成されたバルブ141を含むこともある。各放射加熱ランプ102は、電力が放射加熱ランプ102に供給される際に経由する電力分布ボード(図示せず)に結合されている。放射加熱ランプ102は、ランプ収容開口部を有するランプヘッド145内に配置されてもよい。ランプヘッド145は、例えば、放射加熱ランプ102の間に配置されたチャネル149に導入される冷却流体によって、処理中又は処理後に冷却されてもよい。一実施形態では、ランプヘッド145内のチャネル149は、下方ドーム104のランプヘッド145に近接していることもあり、下方ドーム104を伝導的に及び放射的に冷却するために使用されてもよい。一実施形態では、ランプヘッド145は、ランプ壁及びランプ周囲のリフレクタ(図示せず)の壁を冷却してもよい。代替的に、下方ドーム104は業界で既知の対流法で冷却されてもよい。用途によっては、ランプヘッド145は下方ドーム114に接することもあれば、接しないこともある。
オプションにより円形シールド167が基板支持体106の周りに配置されることがある。シールド167は、処理ガスに事前加熱ゾーンを提供する一方で、放射加熱ランプ102から基板108のデバイス側116への熱/光ノイズの漏れを防止又は最小限に抑制する。シールド167は、化学気相堆積(CVD)SiC、SiC被覆焼結グラファイト、成長させたSiC、不透明石英、被覆石英、又は、処理ガス及びパージングガスによる化学的な破壊に対して耐久力のある任意の同様に好適な材料から作られてもよい。
ライナーアセンブリ163は、処理チャンバ100内に配置されてもよい。一実施形態では、ライナーアセンブリ163は円形シールド167を取り囲んでもよい。ライナーアセンブリ163は、ベースリング136の内周の範囲内にネストされるか、内周によって取り囲まれるように、大きさが決められる。ライナーアセンブリ163は、処理チャンバ100の金属壁から処理容積(すなわち、処理ガス領域156及びパージガス領域158)をシールドする。例えば、ベースリング136の金属壁である。金属壁は前駆体と反応し、処理容積内に汚染を引き起こす。ライナーアセンブリ163は一体として示されているが、以下で説明されるように、ライナーアセンブリ163は一又は複数のライナーを含んでもよい。本開示の実施形態によれば、ライナーアセンブリ163は、一又は複数の処理ガスを処理ガス領域156に注入するための複数のガス流路190を含む。ライナーアセンブリ163はまた、一又は複数のガスをパージガス領域158に注入するための複数のガス流路192を含む。
光学式高温計118は、基板108の温度を測定するため上方ドーム128の外側に配置されてもよい。基板支持体106の基板108の背面加熱の結果として、基板支持体上での温度測定/制御のための光学式高温計118の使用が可能である。光学式高温計118による温度測定は、基板のデバイス側で、例えば、この方法での基板背面110の加熱は放射率に依存しないために未知の放射率を有する上面116で実行されてもよい。その結果、光学式高温計118は、光学式高温計118に直接届く放射加熱ランプ102からの最小限の背景放射によって、基板108からの放射を検出することができ、これによって基板108の正確な温度測定を行うことができる。
リフレクタ122は、基板108から基板108の後方に放射される赤外線を反射するため、オプションにより上方ドーム128の外側に配置されてもよい。リフレクタ122は、固定リング130を使用して上方ドーム128に固定されてもよい。リフレクタ122は、アルミニウム又はステンレス鋼などの金属からできていてもよい。反射効率は、リフレクタ領域を金などの高い反射性被覆材で被覆することによって、改善される。リフレクタ122は、冷却源(図示せず)に結合された、一又は複数の機械加工されたチャネル126を有してもよい。チャネル126は、リフレクタ122の側面に形成された流路(図示せず)に結合する。流路は水などの流体の流れを伝えるように構成されており、リフレクタ122を冷却するため、リフレクタ122の一部又は全体を覆う任意の所望のパターンで、リフレクタ122の側面に沿って水平に走行してもよい。
処理ガス供給源172の一又は複数の処理ガスは、ベースリング136の側壁に配置される処理ガス注入口174を通って、処理ガス領域156へ導入されてもよい。処理ガス注入口174は、一又は複数の個々のガス流を供給するため、一又は複数のガス注入口196(図1Bに示す)を含んでもよい。処理ガス注入口174は、個々のガス流に、速度、密度、又は組成などの様々なパラメータを提供するように構成されてもよい。処理ガス注入口174の一又は複数のガス注入口196の各々は、ライナーアセンブリ163を通って形成される複数のガス流路190の1つに結合される。複数のガス流路190は、一般的に処理ガスを半径方向内向きに配向するように構成されている。複数のガス流路190の各々は、処理ガス注入口174からの処理ガスの速度、密度、方向及び位置などの一又は複数のパラメータを調整するように使用されてもよい。複数のガス流路190は、処理のため一又は複数の処理ガスを処理ガス領域156に配向する前に、処理ガス注入口174の一又は複数の処理ガスを調整する。
処理中、基板支持体106は図1Aに示すように、処理位置に配置されてもよい。処理位置で、基板108は処理ガス注入口174に隣接し、処理ガス注入口174とほぼ同じ高さに位置するため、処理ガスは、層流のように基板108の上面116を横断し、流路173に沿って上方に回転して流れることができる。処理ガスは、ライナーアセンブリ163を通って形成される排気開口部194、及び処理ガス注入口174に対向する処理チャンバ100の側面に配置されたガス排出口178を通り、(流路175に沿って)処理ガス領域156を出る。ガス排出口178経由の処理ガスの除去は、ガス排出口178に結合された真空ポンプ180によって促進される。処理ガス注入口174とガス排出口178は、互いに揃えられ、ほぼ同じ高さに位置するため、このようなガス注入口174とガス排出口178の平行な配置は、平らな上方ドーム128と組み合わされると、基板108を横断する一般的に平面的な一様なガス流を可能にする、と考えられている。更に、半径方向の均一性が、基板支持体106を通る基板108の回転によってもたらされることがある。
同様に、パージガスは、パージガス源162からパージガス領域158へ、オプションのパージガス注入口164を介して、或いはライナーアセンブリ163に形成される複数のガス流路192を通るベースリング136の側壁に配置される処理ガス注入口174を介して、供給されてもよい。パージガス注入口164は、処理ガス注入口174の下の高さに配置される。円形シールド167が使用される場合、円形シールド167は処理ガス注入口174とパージガス注入口164との間に配置されてもよい。いずれの場合でも、パージガス注入口164は、パージガスを一般的に半径方向内向きに配向するように構成されている。膜形成処理中、基板支持体106は、パージガスが層流のように基板支持体106の背面104を横断し、流路165に沿って下方に回転して流れるような位置に配置されてもよい。いかなる特定の理論に制限されるものではないが、パージガスのフローは、処理ガスがパージガス領域158に入るのを防止する、又は実質的に妨げる、或いは、パージガス領域158(すなわち、基板支持体106の下の領域)に入る処理ガスの拡散を低減すると考えられている。パージガスは(流路166に沿って)パージガス領域158を出て、パージガス注入口164の反対側の処理チャンバ100の側面上に配置されているガス排出口178を通って、処理チャンバ100の外へ排出される。
同様に、パージング処理中、基板支持体106は、パージガスが基板支持体106の背面104を横断して流れることができるように、高い位置に配置されてもよい。
図1Bは、処理ガス注入口174からガス排出口178までの流路を示す。複数のガス流路190は、流路173を実質的に平行な方法で配向するため、ライナーアセンブリ163の一部に沿って分散されてもよい。各ガス流路190の数、寸法、及び位置は、ターゲット流量パターンを実現するように配置されてもよい。排気開口部194は、複数のガス流路190の反対側のライナーアセンブリ163を通って形成される広い開口部であってもよい。
当業者であれば、複数のガス流路190、192は例示を目的として示されていることを理解されたい。ガス注入口又は排出口などは、基板108上での材料の一様な堆積を更に促進するように調整されてもよい。本開示の実施形態によるライナーアセンブリの例示的な実施形態が以下に説明される。
図2Aは、本開示の一実施形態によるライナーアセンブリ200の概略的な側面断面図である。ライナーアセンブリ200は、下方ライナー210及び下方ライナー210の上方に配置される上方ライナー220を含んでもよい。複数のガス流路202は、下方ライナー210に形成されてもよい。上方ライナー220は、複数のガス流路202に揃えられる複数のフローガイド222を含んでもよい。複数のフローガイド222の各々は、ガス流を対応するガス流路202から処理ガス領域156に配向するように形成される。
下方ライナー210はリング形状の本体212を有してもよい。リング形状の本体212は、ベースリング136の内面に面する外面214及び処理される基板108に面する内面216を有する。下方ライナー210は、上方ライナー220に面する上面218を有する。複数のガス流路202及び排気開口部204は、リング形状の本体212の対向する側面を通って形成される。一実施形態では、基板開口部206は、複数の流路202と排気開口部204との間のリング形状の本体212を通って形成される。
複数のガス流路202の各々は、相互に結合された水平部分202a及び垂直部分202bを含んでもよい。水平部分202aは、外面214から止まり孔を穿孔することによって形成されてもよい。垂直部分202bは、水平部分202aと結合するため、上面218から止まり孔を穿孔することによって形成されてもよい。
上方ライナー220は、半径方向内向きに延在するリップ226を有するリング形状の本体228を含む。リップ226は中心開口部224を画定する。リップ226は下方ライナー210から離れて配置される。リング形状の本体228は、下方ライナー210に面する湾曲した内面230を有する。複数のフローガイド222は、ガス流路202のガス流を配向するため、内面230に形成されてもよい。フローガイド222の形状寸法は、流れの向きを変えてターゲット流路を実現する。流路232は図2Aに概略的に図解されている。
図2Bは、本開示の一実施形態による、ガス流路202とフローガイド222の分布を示すライナーアセンブリ200の第2の概略的な側面断面図である。
上方ライナー220及び下方ライナー210は、処理化学物質に対して適合性のある物質から構成されてもよい。一実施形態では、上方ライナー220及び下方ライナー210は石英から形成されてもよい。複数のガス流路202はガンドリリングによって形成されてもよい。
図3は、本開示の一実施形態によるライナーアセンブリ300の部分側面断面図である。ライナーアセンブリ300は、外面312、内面314及び上面316を有するリング形状の本体310を含む。リング形状の本体310は、外面312と内面314を結合する複数の流路308を画定する。一実施形態では、複数の流路308の各々は、外面312、内面314及び上面316からそれぞれ止まり孔を穿孔することによって形成される3つのチャネル302、304、306を含む。複数の挿入物320は、上面316からチャネル304の各々に配置されてもよい。
図4は、本開示の一実施形態によるライナーアセンブリ400の部分側面断面図である。ライナーアセンブリ400は、カバーリング420がチャネル304を差し込むための複数の突起422を有することを除いて、ライナーアセンブリ300と同様である。
図5Aは、本開示の一実施形態によるライナーアセンブリ500の部分側面断面図である。図5Bは、ライナーアセンブリ500の概略的な上面図である。ライナーアセンブリ500は、ライナー本体520及び注入リング510を含む。ライナー本体520は、外面522及び内面524を備えたリング形状の本体を有する。注入リング510は、リング形状の本体520の内面に装着されている。リング形状のライナー本体520は、複数の傾斜したチャネル528の対応する1つに結合する複数の水平チャネル526を含む。水平チャネル526は、外面522からの止まり孔の穿孔によって形成されてもよく、傾斜したチャネル528は、水平チャネル526に結合するため、内面524からの止まり孔の穿孔によって形成されてもよい。注入リング510は、複数の傾斜チャネル528に揃えられる複数の水平チャネル512を含む。傾斜チャネル528は、ガス流を上向きに配向し、抵抗を低減する。注入リング510により、流路での傾斜したチャネル528の製造が容易になる。
図5Cは、本開示の別の実施形態によるライナーアセンブリ530の概略的な部分上面図である。ライナーアセンブリ530は、その中に形成される水平チャネル534を有する複数の不連続な注入ブロック532を含むことを除いて、ライナーアセンブリ520と同様である。
以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱することなく本発明の他の追加の実施形態を考案することができ、本開示の範囲は、添付の特許請求の範囲によって定められる。

Claims (15)

  1. 基板処理チャンバの内面を保護するためのライナーアセンブリであって、
    前記基板処理チャンバの前記内面によって収容されるように大きさが決められた外面及び基板処理容積を画定する内面を有するリング形状の本体を備え、前記リング形状の本体は複数のガス流路を含み、前記複数のガス流路は前記外面を前記基板処理容積に結合し、且つ前記複数のガス流路の各々はガス注入口に結合し、前記ガス流を調整するライナーアセンブリ。
  2. 前記複数のガス流路の各々は傾斜したチャネルを備える、請求項1に記載のライナーアセンブリ。
  3. 前記複数のガス流路の各々は前記傾斜したチャネルに結合された水平チャネルを更に備え、前記水平チャネルは前記リング形状の本体の前記外面に対して開かれ、前記傾斜したチャネルは前記リング形状の本体の前記内面に対して開かれている、請求項2に記載のライナーアセンブリ。
  4. 前記リング形状の本体の前記内面に装着された注入リングを更に備え、前記注入リングはそこを通って形成される複数の水平チャネルを有し、前記複数の水平チャネルの各々は、前記複数の傾斜したチャネルの対応する1つに揃えられ、結合される、請求項3に記載のライナーアセンブリ。
  5. 前記内面上で前記リング形状の本体に取り付けられた複数の注入ブロックを更に備え、各注入ブロックはそこを通って形成される水平チャネルを含み、各注入ブロックの前記水平チャネルは前記傾斜したチャネルの対応する1つに揃えられる、請求項3に記載のライナーアセンブリ。
  6. 前記注入ブロックを通って形成される前記水平チャネルは互いに平行である、請求項5に記載のライナーアセンブリ。
  7. 前記複数のガス流路の各々は、第1の水平部分及び垂直部分を含み、前記第1の水平部分は前記リング形状の本体の前記外面に対して開かれ、前記垂直部分は前記リング形状の本体の上面に対して開かれる上端及び前記第1の水平部分と結合する下端を有する、請求項1に記載のライナーアセンブリ。
  8. 前記リング形状の本体の前記上面からの前記複数の垂直チャネルの上端に配置される複数の挿入物を更に備え、前記複数のガス流路の各々は、前記リング形状の本体の前記内面に対して開かれる第2の水平部分を更に含み、前記第2の水平部分は前記挿入物下方で前記垂直部分に結合される、請求項7に記載のライナーアセンブリ。
  9. 前記リング形状のライナー本体の上方に配置される上方ライナーを更に備え、前記上方ライナーは前記複数のガス流路に揃えられる複数のフローガイドを含む、請求項1に記載のライナーアセンブリ。
  10. 前記複数のガス流路の各々は、水平部分及び垂直部分を含み、前記水平部分は前記リング形状の本体の外面に対して開かれ、前記垂直部分は前記リング形状の本体の上面に対して開かれる上端及び前記水平部分に結合する下端を有し、前記垂直部分の上端はフローガイドの対応する1つに結合する、請求項9に記載のライナーアセンブリ。
  11. チャンバ内部を取り囲むチャンバ本体であって、注入口及び排出口はチャンバ本体の対向する側面に形成され、基板開口部は前記注入口と前記排出口との間に形成されるチャンバ本体と、
    前記注入開口部に配置されるガス注入口と、
    前記チャンバ内部に配置される基板支持体と、
    前記チャンバ本体の内面を保護し、前記ガス注入口のガス流を調整するために配置されるライナーアセンブリと
    を備える基板を処理するための装置であって、前記ライナーは前記チャンバ本体の前記内面によって収容されるように大きさが決められた外面を有するリング形状の本体を備え、前記リング形状の本体の内面は基板処理容積を画定し、前記リング形状の本体は複数のガス流路を含み、前記複数のガス流路は前記外面を前記基板処理容積に結合し、前記複数のガス流路の各々はガス注入口に結合し、前記ガス流を調整する装置。
  12. 前記ライナーアセンブリの前記複数のガス流路の各々は傾斜したチャネルを含む、請求項11に記載の装置。
  13. 前記ライナーアセンブリは、前記リング形状のライナー本体の上方に配置される上方ライナーを更に備え、前記上方ライナーは前記複数のガス流路に揃えられる複数のフローガイドを含む、請求項11に記載の装置。
  14. 前記複数のガス流路の各々は、第1の水平部分と垂直部分を含み、前記第1の水平部分は前記リング形状の本体の前記外面に対して開かれ、前記垂直部分は前記リング形状の本体の上面に対して開かれる上端及び前記第1の水平部分と結合する下端を有する、請求項11に記載の装置。
  15. 基板を処理するための方法であって、
    複数の加熱素子からの放射エネルギーを基板処理チャンバ内部に配向すること、及び
    前記処理チャンバ内に配置されるライナーアセンブリ内に形成される複数のガス流路を使用して処理ガスの流れを調整することを含み、前記ライナーアセンブリは、前記チャンバ本体の前記内面によって収容されるように大きさが決められた外面及び基板処理容積を画定する内面を有するリング形状の本体を備え、前記リング形状の本体は、前記外面を前記基板処理容積に結合する複数のガス流路を含み、前記複数のガス流路の各々はガス注入口に結合し、前記ガス流を調整するように設計されている方法。
JP2016511757A 2013-04-30 2014-04-21 空間的に分散されたガス流路を有する流量制御ライナー Active JP6368773B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361817691P 2013-04-30 2013-04-30
US61/817,691 2013-04-30
PCT/US2014/034785 WO2014179093A1 (en) 2013-04-30 2014-04-21 Flow controlled liner having spatially distributed gas passages

Publications (3)

Publication Number Publication Date
JP2016526279A true JP2016526279A (ja) 2016-09-01
JP2016526279A5 JP2016526279A5 (ja) 2017-06-08
JP6368773B2 JP6368773B2 (ja) 2018-08-01

Family

ID=51789571

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016511757A Active JP6368773B2 (ja) 2013-04-30 2014-04-21 空間的に分散されたガス流路を有する流量制御ライナー

Country Status (6)

Country Link
US (3) US9553002B2 (ja)
JP (1) JP6368773B2 (ja)
KR (2) KR20160003831A (ja)
CN (3) CN111211074B (ja)
TW (2) TWI613318B (ja)
WO (1) WO2014179093A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180126377A (ko) * 2017-05-17 2018-11-27 가부시키가이샤 스크린 홀딩스 열처리 장치
JP2020519018A (ja) * 2017-05-01 2020-06-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空分離及び前処理環境を伴う高圧アニールチャンバ
WO2021178270A1 (en) * 2020-03-02 2021-09-10 Lam Research Corporation Adapter plate to attach turbo pumps to process modules
JP7462763B2 (ja) 2020-04-20 2024-04-05 アプライド マテリアルズ インコーポレイテッド 共有供給及び排気システムを備えたマルチ熱cvdチャンバ

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
JP6368773B2 (ja) 2013-04-30 2018-08-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分散されたガス流路を有する流量制御ライナー
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US20150184292A1 (en) * 2013-12-30 2015-07-02 Lam Research Corporation Systems and methods for preventing mixing of two gas streams in a processing chamber
KR102494614B1 (ko) * 2015-03-20 2023-02-02 어플라이드 머티어리얼스, 인코포레이티드 3d 형상추종성 처리를 위한 원자 층 프로세스 챔버
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US11150140B2 (en) * 2016-02-02 2021-10-19 Kla Corporation Instrumented substrate apparatus for acquiring measurement parameters in high temperature process applications
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US11397385B2 (en) 2016-06-17 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and a method of forming a particle shield
US10788764B2 (en) 2016-06-17 2020-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and a method of forming a particle shield
US10168626B2 (en) 2016-06-17 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and a method of forming a particle shield
US9958782B2 (en) 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
USD875054S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875053S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875055S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD842259S1 (en) 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
USD838681S1 (en) 2017-04-28 2019-01-22 Applied Materials, Inc. Plasma chamber liner
USD837754S1 (en) 2017-04-28 2019-01-08 Applied Materials, Inc. Plasma chamber liner
US11004722B2 (en) * 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
KR102081704B1 (ko) * 2018-02-05 2020-02-27 세메스 주식회사 기판 처리 장치
WO2020046567A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
US11486038B2 (en) * 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
US10995419B2 (en) * 2019-04-16 2021-05-04 Applied Materials, Inc. Methods and apparatus for gallium nitride deposition
KR20220020820A (ko) * 2019-05-15 2022-02-21 어플라이드 머티어리얼스, 인코포레이티드 챔버 잔류물들을 감소시키는 방법들
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
US11952660B2 (en) * 2019-07-29 2024-04-09 Applied Materials, Inc. Semiconductor processing chambers and methods for cleaning the same
CN112002660B (zh) * 2020-08-27 2024-01-19 南京国盛电子有限公司 一种半导体处理装置、处理方法及应用
US20220195617A1 (en) * 2020-12-22 2022-06-23 Applied Materials, Inc. Multi-layer epi chamber body
CN112768384B (zh) * 2021-01-26 2024-02-27 西安奕斯伟材料科技股份有限公司 预热环及晶圆外延生长设备
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
KR20230122133A (ko) * 2021-05-11 2023-08-22 어플라이드 머티어리얼스, 인코포레이티드 에피택시 및 cvd 챔버용 가스 인젝터

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0817748A (ja) * 1994-06-27 1996-01-19 Tokyo Electron Ltd プラズマ処理装置
JP2001291709A (ja) * 1999-11-24 2001-10-19 Applied Materials Inc Cvdプロセスチャンバ用ガス分配システム
JP2002001100A (ja) * 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
JP2002100570A (ja) * 2000-09-22 2002-04-05 Komatsu Electronic Metals Co Ltd 枚葉式気相成長装置
JP2003133298A (ja) * 2001-10-19 2003-05-09 Tokyo Electron Ltd マイクロ波プラズマ基板処理装置
JP2004134625A (ja) * 2002-10-11 2004-04-30 Toshiba Corp 半導体装置の製造方法と製造装置
WO2007102466A1 (ja) * 2006-03-06 2007-09-13 Tokyo Electron Limited プラズマ処理装置
JP2008124190A (ja) * 2006-11-10 2008-05-29 Hitachi High-Technologies Corp 真空処理装置
JP2008227487A (ja) * 2007-03-05 2008-09-25 Applied Materials Inc 放射加熱を具備するマイクロバッチ堆積チャンバ
JP2008235830A (ja) * 2007-03-23 2008-10-02 Sumco Techxiv株式会社 気相成長装置
US20110203735A1 (en) * 2010-02-23 2011-08-25 Seo Seongsul Gas injection system for etching profile control
JP2012509575A (ja) * 2008-11-19 2012-04-19 アプライド マテリアルズ インコーポレイテッド 基板処理のための高温測定法

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4142004A (en) * 1976-01-22 1979-02-27 Bell Telephone Laboratories, Incorporated Method of coating semiconductor substrates
US4223048A (en) * 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5433780A (en) * 1992-11-20 1995-07-18 Tokyo Electron Limited Vacuum processing apparatus and exhaust system that prevents particle contamination
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5916369A (en) 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
KR19990002605A (ko) 1997-06-20 1999-01-15 이형도 브러쉬레스 모터용 연성 회로판의 단속 구조
KR19990002605U (ko) * 1997-06-27 1999-01-25 김영환 챔버 내벽 보호용 실린더
FI114855B (fi) * 1999-07-09 2005-01-14 Outokumpu Oy Menetelmä reiän tulppaamiseksi ja menetelmällä valmistettu jäähdytyselementti
JP4588894B2 (ja) * 2001-01-31 2010-12-01 信越半導体株式会社 気相成長装置及びエピタキシャルウェーハの製造方法
JP2002231614A (ja) 2001-02-05 2002-08-16 Canon Inc 剥離用当て板、剥離装置及び方法
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
JP4379585B2 (ja) * 2003-12-17 2009-12-09 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
KR20060059305A (ko) * 2004-11-26 2006-06-01 삼성전자주식회사 반도체 공정 장비
JP4854317B2 (ja) * 2006-01-31 2012-01-18 東京エレクトロン株式会社 基板処理方法
JP2007324285A (ja) * 2006-05-31 2007-12-13 Sumco Techxiv株式会社 成膜反応装置
JP5069424B2 (ja) * 2006-05-31 2012-11-07 Sumco Techxiv株式会社 成膜反応装置及び同方法
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
KR20080073840A (ko) * 2007-02-07 2008-08-12 세메스 주식회사 내벽 보호체를 구비하는 반도체 제조 설비
JP2010524225A (ja) * 2007-04-02 2010-07-15 ソースル シーオー エルティディー 基板支持装置及びこれを備えるプラズマエッチング装置
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5096047B2 (ja) * 2007-06-14 2012-12-12 東京エレクトロン株式会社 マイクロ波プラズマ処理装置およびマイクロ波透過板
US20100081284A1 (en) * 2008-09-29 2010-04-01 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
JP2010263112A (ja) * 2009-05-08 2010-11-18 Sumco Corp エピタキシャル成長装置及びシリコンエピタキシャルウェーハの製造方法
CN102763199B (zh) * 2010-02-12 2016-01-20 应用材料公司 处理腔室之气流改良
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
JP6368773B2 (ja) 2013-04-30 2018-08-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分散されたガス流路を有する流量制御ライナー

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0817748A (ja) * 1994-06-27 1996-01-19 Tokyo Electron Ltd プラズマ処理装置
JP2001291709A (ja) * 1999-11-24 2001-10-19 Applied Materials Inc Cvdプロセスチャンバ用ガス分配システム
JP2002001100A (ja) * 2000-06-22 2002-01-08 Mitsubishi Heavy Ind Ltd プラズマ処理装置
JP2002100570A (ja) * 2000-09-22 2002-04-05 Komatsu Electronic Metals Co Ltd 枚葉式気相成長装置
JP2003133298A (ja) * 2001-10-19 2003-05-09 Tokyo Electron Ltd マイクロ波プラズマ基板処理装置
JP2004134625A (ja) * 2002-10-11 2004-04-30 Toshiba Corp 半導体装置の製造方法と製造装置
WO2007102466A1 (ja) * 2006-03-06 2007-09-13 Tokyo Electron Limited プラズマ処理装置
JP2008124190A (ja) * 2006-11-10 2008-05-29 Hitachi High-Technologies Corp 真空処理装置
JP2008227487A (ja) * 2007-03-05 2008-09-25 Applied Materials Inc 放射加熱を具備するマイクロバッチ堆積チャンバ
JP2008235830A (ja) * 2007-03-23 2008-10-02 Sumco Techxiv株式会社 気相成長装置
JP2012509575A (ja) * 2008-11-19 2012-04-19 アプライド マテリアルズ インコーポレイテッド 基板処理のための高温測定法
US20110203735A1 (en) * 2010-02-23 2011-08-25 Seo Seongsul Gas injection system for etching profile control

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020519018A (ja) * 2017-05-01 2020-06-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空分離及び前処理環境を伴う高圧アニールチャンバ
JP7235678B2 (ja) 2017-05-01 2023-03-08 アプライド マテリアルズ インコーポレイテッド 真空分離及び前処理環境を伴う高圧アニールチャンバ
KR20180126377A (ko) * 2017-05-17 2018-11-27 가부시키가이샤 스크린 홀딩스 열처리 장치
JP2018195686A (ja) * 2017-05-17 2018-12-06 株式会社Screenホールディングス 熱処理装置
KR102103069B1 (ko) * 2017-05-17 2020-04-21 가부시키가이샤 스크린 홀딩스 열처리 장치
US10903095B2 (en) 2017-05-17 2021-01-26 SCREEN Holdings Co., Ltd. Heat treatment apparatus of light irradiation type
WO2021178270A1 (en) * 2020-03-02 2021-09-10 Lam Research Corporation Adapter plate to attach turbo pumps to process modules
JP7462763B2 (ja) 2020-04-20 2024-04-05 アプライド マテリアルズ インコーポレイテッド 共有供給及び排気システムを備えたマルチ熱cvdチャンバ

Also Published As

Publication number Publication date
KR20170064007A (ko) 2017-06-08
KR20160003831A (ko) 2016-01-11
KR102291460B1 (ko) 2021-08-19
CN107833848A (zh) 2018-03-23
US20180033652A1 (en) 2018-02-01
CN105164788A (zh) 2015-12-16
US20140322897A1 (en) 2014-10-30
TW201447032A (zh) 2014-12-16
CN111211074B (zh) 2023-09-22
CN111211074A (zh) 2020-05-29
TW201732078A (zh) 2017-09-16
US9842748B2 (en) 2017-12-12
TWI679299B (zh) 2019-12-11
CN107833848B (zh) 2021-12-07
CN105164788B (zh) 2020-02-14
WO2014179093A1 (en) 2014-11-06
US10170342B2 (en) 2019-01-01
TWI613318B (zh) 2018-02-01
US9553002B2 (en) 2017-01-24
JP6368773B2 (ja) 2018-08-01
US20170125265A1 (en) 2017-05-04

Similar Documents

Publication Publication Date Title
JP6368773B2 (ja) 空間的に分散されたガス流路を有する流量制御ライナー
US20200241580A1 (en) Multi-channel flow ratio controller and processing chamber
US20160010208A1 (en) Design of susceptor in chemical vapor deposition reactor
US20160068996A1 (en) Susceptor and pre-heat ring for thermal processing of substrates
TWI805498B (zh) 用於半導體製程腔室的表面塗層的襯套組件
KR102426601B1 (ko) 에피 챔버에서의 기판 열 제어
US9842753B2 (en) Absorbing lamphead face
TWI673396B (zh) 大氣磊晶沈積腔室
KR102381860B1 (ko) 열 챔버 응용들 및 열 프로세스들을 위한 광 파이프 어레이들
KR20160024378A (ko) 반도체 처리 챔버를 위한 흡수성 반사기
KR102459367B1 (ko) 에피 챔버를 위한 라이너

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170421

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170421

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180528

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180612

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180709

R150 Certificate of patent or registration of utility model

Ref document number: 6368773

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250