KR102103069B1 - 열처리 장치 - Google Patents

열처리 장치 Download PDF

Info

Publication number
KR102103069B1
KR102103069B1 KR1020180054934A KR20180054934A KR102103069B1 KR 102103069 B1 KR102103069 B1 KR 102103069B1 KR 1020180054934 A KR1020180054934 A KR 1020180054934A KR 20180054934 A KR20180054934 A KR 20180054934A KR 102103069 B1 KR102103069 B1 KR 102103069B1
Authority
KR
South Korea
Prior art keywords
gas
buffer
chamber
processing gas
ring
Prior art date
Application number
KR1020180054934A
Other languages
English (en)
Other versions
KR20180126377A (ko
Inventor
노부히코 니시데
다쿠야 가미무라
Original Assignee
가부시키가이샤 스크린 홀딩스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 스크린 홀딩스 filed Critical 가부시키가이샤 스크린 홀딩스
Publication of KR20180126377A publication Critical patent/KR20180126377A/ko
Application granted granted Critical
Publication of KR102103069B1 publication Critical patent/KR102103069B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(과제) 챔버 내에 공급하는 처리 가스의 유량을 증대시켜도 균일하게 처리 가스를 공급할 수 있는 열처리 장치를 제공한다.
(해결 수단) 챔버(6)의 외부로부터 공급된 질소 가스는 원환 형상의 제1 버퍼(95)에 흘러들어가 가스 링(90)의 둘레방향을 따라서 균등하게 확산된다. 제1 버퍼(95)에 채워진 질소 가스는, 제1 버퍼(95)보다 용적이 큰 제2 버퍼(96)에 유입되어, 가스 링(90)의 둘레방향을 따라서 더욱 균등하게 확산된다. 제2 버퍼(96)에 채워진 질소 가스는, 래버린스부(97)에 유입되고, 가스 링(90)의 경방향을 따라서 내측에서 외측을 향해 래버린스부(97)의 굴곡진 유로를 흐름으로써, 질소 가스의 유속은 저하된다. 래버린스부(97)로부터 유출된 질소 가스는 토출 유로(99)에 흘러들어가 가스 링(90)의 경방향을 따라서 외측에서 내측을 향해 흘러, 가스 토출구(81)로부터 토출된다.

Description

열처리 장치{HEAT TREATMENT APPARATUS OF LIGHT IRRADIATION TYPE}
본 발명은, 반도체 웨이퍼 등의 박판형 정밀 전자 기판(이하, 단순히 「기판」이라 칭함)에 광을 조사함으로써 이 기판을 가열하는 열처리 장치에 관한 것이다.
반도체 디바이스의 제조 프로세스에 있어서, 불순물 도입은 반도체 웨이퍼 내에 pn 접합을 형성하기 위한 필수 공정이다. 현재, 불순물 도입은, 이온 주입법과 그 후의 어닐링법에 의해서 이루어지는 것이 일반적이다. 이온 주입법은, 붕소(B), 비소(As), 인(P)과 같은 불순물의 원소를 이온화시켜 고가속 전압으로 반도체 웨이퍼에 충돌시켜 물리적으로 불순물 주입을 행하는 기술이다. 주입된 불순물은 어닐링 처리에 의해서 활성화된다. 이 때, 어닐링 시간이 수 초 정도 이상이면, 주입된 불순물이 열에 의해서 깊게 확산되어, 그 결과 접합 깊이가 요구보다 너무 깊어져 양호한 디바이스 형성에 지장이 생길 우려가 있다.
그래서, 매우 단시간에 반도체 웨이퍼를 가열하는 어닐링 기술로서, 최근 플래시 램프 어닐링(FLA)이 주목되고 있다. 플래시 램프 어닐링은, 크세논 플래시 램프(이하, 단순히 「플래시 램프」라 할 때에는 크세논 플래시 램프를 의미함)를 사용하여 반도체 웨이퍼의 표면에 플래시광을 조사함으로써, 불순물이 주입된 반도체 웨이퍼의 표면만을 매우 단시간(수밀리초 이하)에 승온시키는 열처리 기술이다.
크세논 플래시 램프의 방사 분광 분포는 자외역으로부터 근적외역이며, 종래의 할로겐 램프보다 파장이 짧고, 실리콘의 반도체 웨이퍼의 기초 흡수대와 거의 일치하고 있다. 따라서, 크세논 플래시 램프로부터 반도체 웨이퍼에 플래시광을 조사했을 때에는, 투과광이 적고 반도체 웨이퍼를 급속히 승온시키는 것이 가능하다. 또, 수밀리초 이하의 매우 단시간의 플래시 광조사이면, 반도체 웨이퍼의 표면 근방만을 선택적으로 승온시킬 수 있는 것도 판명되었다. 이 때문에, 크세논 플래시 램프에 의한 매우 단시간의 승온이라면, 불순물을 깊게 확산시키지 않고, 불순물 활성화만을 실행할 수 있는 것이다.
크세논 플래시 램프를 사용한 열처리 장치에 있어서는, 매우 높은 에너지를 갖는 플래시광을 순간적으로 반도체 웨이퍼의 표면에 조사하기 때문에, 한순간에 반도체 웨이퍼의 표면 온도가 급속하게 상승한다. 그 결과, 반도체 웨이퍼의 표면에 급격한 열팽창이 발생해 변형되고, 플래시 광조사시에 반도체 웨이퍼가 서셉터 상에서 진동, 혹은 도약하는 현상이 발생했다. 그리고, 반도체 웨이퍼의 진동(혹은, 도약)에 따른 서셉터와의 슬라이딩이나 기류의 흐트러짐에 의해, 챔버 내에 파티클이 발생해, 그것이 반도체 웨이퍼에 부착되어 반도체 웨이퍼를 오염시키게 된다.
이 때문에, 특허 문헌 1에는, 챔버 내에 공급하는 질소 가스의 유량을 증대시킴으로써, 발생한 파티클을 효율적으로 챔버로부터 배출하여 반도체 웨이퍼에 파티클이 부착되는 것을 방지하는 기술이 제안되고 있다.
일본국 특허 공개 2013-207033호 공보
그러나, 챔버 내에 공급하는 질소 가스의 유량을 증대시키는 것은, 파티클 수를 저감시키는 데에는 효과적이지만, 챔버 내에 있어서의 가스의 흐름이 불균일해져, 반도체 웨이퍼의 온도 분포의 면내 균일성을 저하시킨다는 문제가 발생했다.
본 발명은, 상기 과제를 감안하여 이루어진 것이며, 챔버 내에 공급하는 처리 가스의 유량을 증대시켜도 균일하게 처리 가스를 공급할 수 있는 열처리 장치를 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 청구항 1의 발명은, 기판에 광을 조사함으로써 이 기판을 가열하는 열처리 장치에 있어서, 대략 원통 형상의 측벽을 갖는 챔버와, 상기 챔버 내에서 기판을 유지하는 유지부와, 상기 유지부에 유지된 상기 기판에 광을 조사하는 광조사부와, 상기 측벽의 일방측 개구를 폐색하도록 설치되고, 상기 광조사부로부터 출사된 광을 상기 챔버 내에 투과시키는 석영창과, 상기 측벽에 부착되고, 상기 챔버의 외부로부터 공급된 처리 가스를 상기 챔버의 내부로 이끄는 원환 형상의 가스 링을 구비하고, 상기 가스 링은, 상기 챔버의 외부로부터 공급된 상기 처리 가스를 상기 가스 링의 둘레방향을 따라서 확산시키는 버퍼부와, 상기 버퍼부에 채워진 상기 처리 가스를 상기 가스 링의 경방향을 따라서 내측에서 외측을 향해 흐르게 하면서 상기 처리 가스의 흐름에 저항을 부여해 상기 처리 가스의 경방향을 따른 유속을 저하시키는 저항부를 구비하고, 상기 가스 링과 상기 석영창 사이에, 상기 저항부로부터 유출된 상기 처리 가스를 상기 가스 링의 경방향을 따라서 외측에서 내측을 향해 흐르게 하여 상기 챔버의 내부에 토출하는 토출 유로를 형성하는 것을 특징으로 한다.
또, 청구항 2의 발명은, 청구항 1의 발명에 따른 열처리 장치에 있어서, 상기 저항부에는, 상기 가스 링의 둘레방향을 따른 상기 처리 가스의 흐름에 저항을 부여해 상기 처리 가스의 둘레방향을 따른 유속을 저하시키는 돌기부가 설치되는 것을 특징으로 한다.
또, 청구항 3의 발명은, 청구항 1 또는 청구항 2의 발명에 따른 열처리 장치에 있어서, 상기 버퍼부는, 상기 챔버의 외부로부터 공급된 상기 처리 가스가 유입되는 원환형의 공간인 제1 버퍼와, 상기 제1 버퍼보다 큰 용적을 갖고, 상기 제1 버퍼에 채워진 상기 처리 가스가 유입되는 제2 버퍼를 구비하는 것을 특징으로 한다.
또, 청구항 4의 발명은, 청구항 1의 발명에 따른 열처리 장치에 있어서, 상기 저항부는 래버린스 구조를 갖는 것을 특징으로 한다.
또, 청구항 5의 발명은, 청구항 1의 발명에 따른 열처리 장치에 있어서, 상기 저항부는 복수의 구멍을 형성한 메시판을 갖는 것을 특징으로 한다.
또, 청구항 6의 발명은, 청구항 1의 발명에 따른 열처리 장치에 있어서, 상기 저항부는 복수의 기둥형 부재를 구비하는 것을 특징으로 한다.
또, 청구항 7의 발명은, 기판에 광을 조사함으로써 이 기판을 가열하는 열처리 장치에 있어서, 대략 원통 형상의 측벽을 갖는 챔버와, 상기 챔버 내에서 기판을 유지하는 유지부와, 상기 유지부에 유지된 상기 기판에 광을 조사하는 광조사부와, 상기 챔버의 외부로부터 공급된 상기 처리 가스를 상기 측벽의 둘레방향을 따라서 확산시키는 버퍼부와, 상기 버퍼부에 채워진 상기 처리 가스를 상기 측벽의 경방향을 따라서 내측에서 외측을 향해 흐르게 하면서 상기 처리 가스의 흐름에 저항을 부여해 상기 처리 가스의 경방향을 따른 유속을 저하시키는 저항부와, 상기 저항부로부터 유출된 상기 처리 가스를 상기 측벽의 경방향을 따라서 외측에서 내측을 향해 흐르게 하여 상기 챔버의 내부에 토출하는 토출 유로를 구비하는 것을 특징으로 한다.
또, 청구항 8의 발명은, 청구항 7의 발명에 따른 열처리 장치에 있어서, 상기 저항부에는, 상기 측벽의 둘레방향을 따른 상기 처리 가스의 흐름에 저항을 부여해 상기 처리 가스의 둘레방향을 따른 유속을 저하시키는 돌기부가 설치되는 것을 특징으로 한다.
또, 청구항 9의 발명은, 청구항 7 또는 청구항 8의 발명에 따른 열처리 장치에 있어서, 상기 버퍼부는, 상기 챔버의 외부로부터 공급된 상기 처리 가스가 유입되는 원환형의 공간인 제1 버퍼와, 상기 제1 버퍼보다 큰 용적을 갖고, 상기 제1 버퍼에 채워진 상기 처리 가스가 유입되는 제2 버퍼를 구비하는 것을 특징으로 한다.
청구항 1 내지 청구항 6의 발명에 의하면, 가스 링은, 챔버의 외부로부터 공급된 처리 가스를 가스 링의 둘레방향을 따라서 확산시키는 버퍼부와, 버퍼부에 채워진 처리 가스를 가스 링의 경방향을 따라서 내측에서 외측을 향해 흐르게 하면서 처리 가스의 흐름에 저항을 부여해 처리 가스의 경방향을 따른 유속을 저하시키는 저항부를 구비하고, 가스 링과 석영창 사이에, 저항부로부터 유출된 처리 가스를 가스 링의 경방향을 따라서 외측에서 내측을 향해 흐르게 하여 챔버의 내부에 토출하는 토출 유로를 형성하기 때문에, 챔버 내에 공급하는 처리 가스의 유량을 증대시켜도 처리 가스를 균등하게 확산시켜 유속을 저하시킬 수 있어, 균일하게 처리 가스를 공급할 수 있다.
특히, 청구항 2의 발명에 의하면, 저항부에는, 가스 링의 둘레방향을 따른 처리 가스의 흐름에 저항을 부여해 처리 가스의 둘레방향을 따른 유속을 저하시키는 돌기부가 설치되므로, 처리 가스의 둘레방향을 따른 유속도 충분히 저하시켜 보다 균일하게 처리 가스를 공급할 수 있다.
청구항 7 내지 청구항 9의 발명에 의하면, 챔버의 외부로부터 공급된 처리 가스를 측벽의 둘레방향을 따라서 확산시키는 버퍼부와, 버퍼부에 채워진 처리 가스를 측벽의 경방향을 따라서 내측에서 외측을 향해 흐르게 하면서 처리 가스의 흐름에 저항을 부여해 처리 가스의 경방향을 따른 유속을 저하시키는 저항부와, 저항부로부터 유출된 처리 가스를 측벽의 경방향을 따라서 외측에서 내측을 향해 흐르게 하여 챔버의 내부에 토출하는 토출 유로를 구비하므로, 챔버 내에 공급하는 처리 가스의 유량을 증대시켜도 처리 가스를 균등하게 확산시켜 유속을 저하시킬 수 있어, 균일하게 처리 가스를 공급할 수 있다.
특히, 청구항 8의 발명에 의하면, 저항부에는, 측벽의 둘레방향을 따른 처리 가스의 흐름에 저항을 부여해 처리 가스의 둘레방향을 따른 유속을 저하시키는 돌기부가 설치되므로, 처리 가스의 둘레방향을 따른 유속도 충분히 저하시켜 보다 균일하게 처리 가스를 공급할 수 있다.
도 1은 본 발명에 따른 열처리 장치의 구성을 나타내는 종단면도이다.
도 2는 유지부의 전체 외관을 나타내는 사시도이다.
도 3은 서셉터의 평면도이다.
도 4는 서셉터의 단면도이다.
도 5는 이재(移載) 기구의 평면도이다.
도 6은 이재 기구의 측면도이다.
도 7은 복수의 할로겐 램프의 배치를 나타내는 평면도이다.
도 8은 가스 링의 전체 외관을 나타내는 사시도이다.
도 9는 상부 링의 외관을 나타내는 사시도이다.
도 10은 하부 링의 외관을 나타내는 사시도이다.
도 11은 가스 링의 단면 구조를 나타내는 사시도이다.
도 12는 가스 링의 내부 공간에 있어서의 처리 가스의 흐름을 나타내는 단면도이다.
도 13은 메시판을 설치한 가스 링의 단면 구조를 나타내는 사시도이다.
도 14는 복수의 기둥형 부재를 설치한 가스 링의 단면 구조를 나타내는 사시도이다.
이하, 도면을 참조하면서 본 발명의 실시형태에 대해서 상세하게 설명한다.
도 1은, 본 발명에 따른 열처리 장치(1)의 구성을 나타내는 종단면도이다. 도 1의 열처리 장치(1)는, 기판으로서 원판 형상의 반도체 웨이퍼(W)에 대해 플래시 광조사를 행함으로써 그 반도체 웨이퍼(W)를 가열하는 플래시 램프 어닐링 장치이다. 처리 대상이 되는 반도체 웨이퍼(W)의 사이즈는 특별히 한정되는 것은 아니나, 예를 들면 φ300mm나 φ450mm이다(본 실시형태에서는 φ300mm). 열처리 장치(1)에 반입되기 전의 반도체 웨이퍼(W)에는 불순물이 주입되어 있고, 열처리 장치(1)에 의한 가열 처리에 의해서 주입된 불순물의 활성화 처리가 실행된다. 또한, 도 1 및 이후의 각 도면에 있어서는, 이해를 용이하게 하기 위해, 필요에 따라서 각 부의 치수나 수를 과장 또는 간략화하여 그리고 있다.
열처리 장치(1)는, 반도체 웨이퍼(W)를 수용하는 챔버(6)와, 복수의 플래시 램프(FL)를 내장하는 플래시 가열부(5)와, 복수의 할로겐 램프(HL)를 내장하는 할로겐 가열부(4)를 구비한다. 챔버(6)의 상측에 플래시 가열부(5)가 설치됨과 더불어, 하측에 할로겐 가열부(4)가 설치되어 있다. 또, 열처리 장치(1)는, 챔버(6)의 내부에, 반도체 웨이퍼(W)를 수평 자세로 유지하는 유지부(7)와, 유지부(7)와 장치 외부 사이에서 반도체 웨이퍼(W)의 수도(受渡)를 행하는 이재 기구(10)를 구비한다. 또한, 열처리 장치(1)는, 할로겐 가열부(4), 플래시 가열부(5) 및 챔버(6)에 설치된 각 동작 기구를 제어하여 반도체 웨이퍼(W)의 열처리를 실행시키는 제어부(3)를 구비한다.
챔버(6)는, 통형상의 챔버 측부(61)의 상하에 석영제의 챔버 창을 장착하여 구성되어 있다. 챔버 측부(61)는 상하가 개구된 개략 원통 형상을 갖고 있으며, 상측 개구에는 상측 챔버 창(63)이 장착되어 폐색되고, 하측 개구에는 하측 챔버 창(64)이 장착되어 폐색되어 있다. 챔버(6)의 천정부를 구성하는 상측 챔버 창(63)은, 석영에 의해 형성된 원판 형상 부재이며, 플래시 가열부(5)로부터 출사 된 플래시광을 챔버(6) 내에 투과하는 석영창으로서 기능한다. 또, 챔버(6)의 바닥부를 구성하는 하측 챔버 창(64)도, 석영에 의해 형성된 원판 형상 부재이며, 할로겐 가열부(4)로부터의 광을 챔버(6) 내에 투과하는 석영창으로서 기능한다.
또, 챔버 측부(61)의 내측의 벽면의 상부에는 가스 링(90)이 장착되고, 하부에는 반사 링(69)이 장착되어 있다. 가스 링(90) 및 반사 링(69)은, 둘 다 원환형으로 형성되어 있다. 챔버(6)의 내측 공간, 즉 상측 챔버 창(63), 하측 챔버 창(64), 챔버 측부(61), 반사 링(69) 및 가스 링(90)에 의해서 둘러싸이는 공간이 열처리 공간(65)으로서 규정된다.
챔버 측부(61)에 반사 링(69) 및 가스 링(90)이 장착됨으로써, 챔버(6)의 내벽면에 오목부(62)가 형성된다. 즉, 챔버 측부(61)의 내벽면 중 반사 링(69) 및 가스 링(90)이 장착되어 있지 않은 중앙 부분과, 반사 링(69)의 상단면과, 가스 링(90)의 하단면으로 둘러싸인 오목부(62)가 형성된다. 오목부(62)는, 챔버(6)의 내벽면에 수평 방향을 따라서 원환형으로 형성되고, 반도체 웨이퍼(W)를 유지하는 유지부(7)를 둘러싼다.
또, 챔버 측부(61)에는, 챔버(6)에 대해 반도체 웨이퍼(W)의 반입 및 반출을 행하기 위한 반송 개구부(노구(爐口))(66)가 형성되어 있다. 반송 개구부(66)는, 게이트 밸브(185)에 의해서 개폐 가능하게 되어 있다. 반송 개구부(66)는 오목부(62)의 외주면에 연통 접속되어 있다. 이 때문에, 게이트 밸브(185)가 반송 개구부(66)를 개방하고 있을 때에는, 반송 개구부(66)로부터 오목부(62)를 통과하여 열처리 공간(65)으로의 반도체 웨이퍼(W)의 반입 및 열처리 공간(65)으로부터의 반도체 웨이퍼(W)의 반출을 행할 수 있다. 또, 게이트 밸브(185)가 반송 개구부(66)를 폐쇄하면 챔버(6) 내의 열처리 공간(65)이 밀폐 공간이 된다.
또한, 챔버 측부(61)에는, 관통 구멍(61a)이 형성되어 있다. 챔버 측부(61)의 외벽면의 관통 구멍(61a)이 설치되어 있는 부위에는 하부 방사 온도계(20)가 부착되어 있다. 관통 구멍(61a)은, 후술하는 서셉터(74)에 유지된 반도체 웨이퍼(W)의 하면으로부터 방사된 적외광을 하부 방사 온도계(20)로 이끌기 위한 원통형의 구멍이다. 관통 구멍(61a)은, 그 관통 방향의 축이 서셉터(74)에 유지된 반도체 웨이퍼(W)의 주면과 교차하도록, 수평 방향에 대해 경사져 설치되어 있다. 관통 구멍(61a)의 열처리 공간(65)에 면하는 측의 단부에는, 하부 방사 온도계(20)가 측정 가능한 파장 영역의 적외광을 투과시키는 불화바륨 재료로 이루어지는 투명창(21)이 장착되어 있다.
또, 챔버(6)의 내벽 상부에는 열처리 공간(65)에 처리 가스를 공급하는 가스 토출구(81)가 형성되어 있다. 가스 토출구(81)는, 가스 링(90)과 상측 챔버 창(63) 사이에 형성되어 있다. 가스 토출구(81)는, 가스 링(90)의 내부 공간을 통해 가스 공급관(83)에 연통 접속되어 있다. 가스 공급관(83)은 처리 가스 공급원(85)에 접속되어 있다. 또, 가스 공급관(83)의 경로 도중에는 밸브(84)가 삽입되어 있다. 밸브(84)가 개방되면, 처리 가스 공급원(85)로부터 가스 링(90)에 처리 가스가 송급되고, 가스 링(90)의 내부 공간을 통과한 처리 가스가 가스 토출구(81)로부터 열처리 공간(65)에 토출된다. 처리 가스로는, 예를 들면 질소(N2) 등의 불활성 가스, 또는, 수소(H2), 암모니아(NH3) 등의 반응성 가스, 혹은 그들을 혼합한 혼합 가스를 이용할 수 있다(본 실시형태에서는 질소 가스). 또한, 가스 링(90)의 구성에 대해서는 추가로 후술한다.
한편, 챔버(6)의 내벽 하부에는 열처리 공간(65) 내의 기체를 배기하는 가스 배기 구멍(86)이 형성되어 있다. 가스 배기 구멍(86)은, 오목부(62)보다 하측 위치에 형성되어 있고, 반사 링(69)에 설치되어 있어도 된다. 가스 배기 구멍(86)은 챔버(6)의 측벽 내부에 원환형으로 형성된 완충 공간(87)을 통해 가스 배기관(88)에 연통 접속되어 있다. 가스 배기관(88)은 배기부(190)에 접속되어 있다. 또, 가스 배기관(88)의 경로 도중에는 밸브(89)가 삽입되어 있다. 밸브(89)가 개방되면, 열처리 공간(65)의 기체가 가스 배기 구멍(86)으로부터 완충 공간(87)을 거쳐 가스 배기관(88)으로 배출된다. 또한, 처리 가스 공급원(85) 및 배기부(190)는, 열처리 장치(1)에 설치된 기구여도 되고, 열처리 장치(1)가 설치되는 공장의 유틸리티여도 된다.
또, 반송 개구부(66)의 선단에도 열처리 공간(65) 내의 기체를 배출하는 가스 배기관(191)이 접속되어 있다. 가스 배기관(191)은 밸브(192)를 통해 배기부(190)에 접속되어 있다. 밸브(192)를 개방함으로써, 반송 개구부(66)를 통해 챔버(6) 내의 기체가 배기된다.
도 2는, 유지부(7)의 전체 외관을 나타내는 사시도이다. 유지부(7)는, 기대(基臺)링(71), 연결부(72) 및 서셉터(74)를 구비해 구성된다. 기대링(71), 연결부(72) 및 서셉터(74)는 모두 석영으로 형성되어 있다. 즉, 유지부(7)의 전체가 석영으로 형성되어 있다.
기대 링(71)은 원환 형상으로부터 일부가 결락된 원호 형상의 석영 부재이다. 이 결락 부분은, 후술하는 이재 기구(10)의 이재 암(11)과 기대 링(71)의 간섭을 막기 위해서 설치되어 있다. 기대 링(71)은 오목부(62)의 바닥면에 재치(載置)됨으로써, 챔버(6)의 벽면에 지지되게 된다(도 1 참조). 기대 링(71)의 상면에, 그 원환 형상의 둘레방향을 따라서 복수의 연결부(72)(본 실시형태에서는 4개)가 세워져 설치된다. 연결부(72)도 석영의 부재이며, 용접에 의해서 기대 링(71)에 고착된다.
서셉터(74)는 기대 링(71)에 설치된 4개의 연결부(72)에 의해서 지지된다. 도 3은, 서셉터(74)의 평면도이다. 또, 도 4는, 서셉터(74)의 단면도이다. 서셉터(74)는, 유지 플레이트(75), 가이드 링(76) 및 복수의 기판 지지 핀(77)을 구비한다. 유지 플레이트(75)는, 석영으로 형성된 대략 원형의 평판형 부재이다. 유지 플레이트(75)의 직경은 반도체 웨이퍼(W)의 직경보다 크다. 즉, 유지 플레이트(75)는, 반도체 웨이퍼(W)보다 큰 평면 사이즈를 갖는다.
유지 플레이트(75)의 상면 주연부에 가이드 링(76)이 설치되어 있다. 가이드 링(76)은, 반도체 웨이퍼(W)의 직경보다 큰 내경을 갖는 원환 형상의 부재이다. 예를 들면, 반도체 웨이퍼(W)의 직경이 φ300mm인 경우, 가이드 링(76)의 내경은 φ320mm이다. 가이드 링(76)의 내주는, 유지 플레이트(75)로부터 상방을 향해서 넓어지는 테이퍼면으로 되어 있다. 가이드 링(76)은, 유지 플레이트(75)와 동일한 석영으로 형성된다. 가이드 링(76)은, 유지 플레이트(75)의 상면에 용착하도록 해도 되고, 별도로 가공한 핀 등에 의해서 유지 플레이트(75)에 고정하도록 해도 된다. 혹은, 유지 플레이트(75)와 가이드 링(76)을 일체의 부재로서 가공하도록 해도 된다.
유지 플레이트(75)의 상면 중 가이드 링(76)보다 내측의 영역이 반도체 웨이퍼(W)를 유지하는 평면형의 유지면(75a)이 된다. 유지 플레이트(75)의 유지면(75a)에는, 복수의 기판 지지 핀(77)이 세워져 설치되어 있다. 본 실시형태에 있어서는, 유지면(75a)의 외주원(가이드 링(76)의 내주원)과 동심원의 둘레 위를 따라서 30°마다 합계 12개의 기판 지지 핀(77)이 세워져 설치되어 있다. 12개의 기판 지지 핀(77)을 배치한 원의 직경(대향하는 기판 지지 핀(77) 사이의 거리)은 반도체 웨이퍼(W)의 직경보다 작고, 반도체 웨이퍼(W)의 직경이 φ300mm이면 φ270mm~φ280mm(본 실시형태에서는φ270mm)이다. 각각의 기판 지지 핀(77)은 석영으로 형성되어 있다. 복수의 기판 지지 핀(77)은, 유지 플레이트(75)의 상면에 용접에 의해서 설치하도록 해도 되고, 유지 플레이트(75)와 일체로 가공하도록 해도 된다.
도 2로 되돌아와서, 기대 링(71)에 세워져 설치된 4개의 연결부(72)와 서셉터(74)의 유지 플레이트(75)의 주연부가 용접에 의해서 고착된다. 즉, 서셉터(74)와 기대 링(71)은 연결부(72)에 의해서 고정적으로 연결되어 있다. 이러한 유지부(7)의 기대 링(71)이 챔버(6)의 벽면에 지지됨으로써, 유지부(7)가 챔버(6)에 장착된다. 유지부(7)가 챔버(6)에 장착된 상태에 있어서는, 서셉터(74)의 유지 플레이트(75)는 수평 자세(법선이 연직 방향과 일치하는 자세)가 된다. 즉, 유지 플레이트(75)의 유지면(75a)은 수평면이 된다.
챔버(6)에 반입된 반도체 웨이퍼(W)는, 챔버(6)에 장착된 유지부(7)의 서셉터(74) 위에 수평 자세로 재치되어 유지된다. 이 때, 반도체 웨이퍼(W)는 유지 플레이트(75) 상에 세워져 설치된 12개의 기판 지지 핀(77)에 의해서 지지되어 서셉터(74)에 유지된다. 보다 엄밀하게는, 12개의 기판 지지 핀(77)의 상단부가 반도체 웨이퍼(W)의 하면에 접촉하여 당해 반도체 웨이퍼(W)를 지지한다. 12개의 기판 지지 핀(77)의 높이(기판 지지 핀(77)의 상단으로부터 유지 플레이트(75)의 유지면(75a)까지의 거리)는 균일하기 때문에, 12개의 기판 지지 핀(77)에 의해서 반도체 웨이퍼(W)를 수평 자세로 지지할 수 있다.
또, 반도체 웨이퍼(W)는 복수의 기판 지지 핀(77)에 의해서 유지 플레이트(75)의 유지면(75a)으로부터 소정의 간격을 두고 지지되게 된다. 기판 지지 핀(77)의 높이보다 가이드 링(76)의 두께가 크다. 따라서, 복수의 기판 지지 핀(77)에 의해서 지지된 반도체 웨이퍼(W)의 수평 방향의 위치 어긋남은 가이드 링(76)에 의해서 방지된다.
또, 도 2 및 도 3에 나타낸 바와 같이, 서셉터(74)의 유지 플레이트(75)에는, 상하로 관통하여 개구부(78)가 형성되어 있다. 개구부(78)는, 하부 방사 온도계(20)가 반도체 웨이퍼(W)의 하면으로부터 방사되는 방사광(적외광)을 수광하기 위해서 설치되어 있다. 즉, 하부 방사 온도계(20)가 개구부(78) 및 챔버 측부(61)의 관통 구멍(61a)에 장착된 투명창(21)을 통해 반도체 웨이퍼(W)의 하면으로부터 방사된 광을 수광하여 당해 반도체 웨이퍼(W)의 온도를 측정한다. 또한, 서셉터(74)의 유지 플레이트(75)에는, 후술하는 이재 기구(10)의 리프트 핀(12)이 반도체 웨이퍼(W)의 수도를 위해서 관통하는 4개의 관통 구멍(79)이 형성되어 있다.
도 5는, 이재 기구(10)의 평면도이다. 또, 도 6은, 이재 기구(10)의 측면도이다. 이재 기구(10)는, 2개의 이재 암(11)을 구비한다. 이재 암(11)은, 대체로 원환형의 오목부(62)를 따르는 원호 형상으로 되어 있다. 각각의 이재 암(11)에는 2개의 리프트 핀(12)이 세워져 설치되어 있다. 이재 암(11) 및 리프트 핀(12)은 석영으로 형성되어 있다. 각 이재 암(11)은 수평 이동 기구(13)에 의해서 회동 가능하게 되어 있다. 수평 이동 기구(13)는, 한 쌍의 이재 암(11)을 유지부(7)에 대해 반도체 웨이퍼(W)의 이재를 행하는 이재 동작 위치(도 5의 실선 위치)와 유지부(7)에 유지된 반도체 웨이퍼(W)와 평면에서 봤을 때 겹치지 않는 퇴피 위치(도 5의 이점쇄선 위치) 사이에서 수평 이동시킨다. 수평 이동 기구(13)로는, 개별의 모터에 의해서 각 이재 암(11)을 각각 회동시키는 것이어도 되고, 링크 기구를 이용하여 1개의 모터에 의해서 한 쌍의 이재 암(11)을 연동시켜 회동시키는 것이어도 된다.
또, 한 쌍의 이재 암(11)은, 승강 기구(14)에 의해서 수평 이동 기구(13)와 함께 승강 이동된다. 승강 기구(14)가 한 쌍의 이재 암(11)을 이재 동작 위치에서 상승시키면, 합계 4개의 리프트 핀(12)이 서셉터(74)에 형성된 관통 구멍(79)(도 2, 3 참조)을 통과해, 리프트 핀(12)의 상단이 서셉터(74)의 상면으로부터 돌출한다. 한편, 승강 기구(14)가 한 쌍의 이재 암(11)을 이재 동작 위치에서 하강시켜 리프트 핀(12)을 관통 구멍(79)으로부터 빼내어, 수평 이동 기구(13)가 한 쌍의 이재 암(11) 사이가 벌어지도록 이동시키면 각 이재 암(11)이 퇴피 위치로 이동한다. 한 쌍의 이재 암(11)의 퇴피 위치는, 유지부(7)의 기대 링(71)의 바로 위이다. 기대 링(71)은 오목부(62)의 바닥면에 재치되어 있기 때문에, 이재 암(11)의 퇴피 위치는 오목부(62)의 내측이 된다. 또한, 이재 기구(10)의 구동부(수평 이동 기구(13) 및 승강 기구(14))가 설치되어 있는 부위의 근방에도 도시 생략한 배기 기구가 설치되어 있으며, 이재 기구(10)의 구동부 주변의 분위기가 챔버(6)의 외부로 배출되도록 구성되어 있다.
도 1으로 되돌아와서서, 챔버(6)의 상방에 설치된 플래시 가열부(5)는, 하우징(51)의 내측에, 복수개(본 실시형태에서는 30개)의 크세논 플래시 램프(FL)로 이루어지는 광원과, 그 광원의 상방을 덮도록 설치된 리플렉터(52)를 구비해 구성된다. 또, 플래시 가열부(5)의 하우징(51)의 바닥부에는 램프광 방사창(53)이 장착되어 있다. 플래시 가열부(5)의 바닥부를 구성하는 램프광 방사창(53)은, 석영에 의해 형성된 판형의 석영창이다. 플래시 가열부(5)가 챔버(6)의 상방에 설치됨으로써, 램프광 방사창(53)이 상측 챔버 창(63)과 서로 대향하게 된다. 플래시 램프(FL)는 챔버(6)의 상방으로부터 램프광 방사창(53) 및 상측 챔버 창(63)을 통해 열처리 공간(65)에 플래시광을 조사한다.
복수의 플래시 램프(FL)는, 각각이 장척의 원통 형상을 갖는 봉형 램프이며, 각각의 길이 방향이 유지부(7)에 유지되는 반도체 웨이퍼(W)의 주면을 따라서(즉 수평 방향을 따라서) 서로 평행하게 되도록 평면형으로 배열되어 있다. 따라서, 플래시 램프(FL)의 배열에 따라서 형성되는 평면도 수평면이다. 복수의 플래시 램프(FL)가 배열되는 영역은 반도체 웨이퍼(W)의 평면 사이즈보다 크다.
크세논 플래시 램프(FL)는, 그 내부에 크세논 가스가 봉입되어 그 양단부에 콘덴서에 접속된 양극 및 음극이 설치된 원통 형상의 유리관(방전관)과, 이 유리관의 외주면 상에 부설된 트리거 전극을 구비한다. 크세논 가스는 전기적으로는 절연체라는 점에서, 콘덴서에 전하가 축적되어 있었다고 해도 통상 상태에서는 유리관 내에 전기는 흐르지 않는다. 그러나, 트리거 전극에 고전압을 인가하여 절연을 파괴한 경우에는, 콘덴서에 모아진 전기가 유리관 내에 순간적으로 흐르고, 그 때의 크세논의 원자 혹은 분자의 여기에 의해서 광이 방출된다. 이러한 크세논 플래시 램프(FL)에 있어서는, 미리 콘덴서에 모아져 있던 정전 에너지가 0.1밀리세컨드 내지 100밀리세컨드라는 매우 짧은 광펄스로 변환된다는 점에서, 할로겐 램프(HL) 와 같은 연속 점등의 광원에 비해 매우 강한 광을 조사할 수 있다는 특징을 갖는다. 즉, 플래시 램프(FL)는, 1초 미만의 매우 짧은 시간 동안에 순간적으로 발광하는 펄스 발광 램프이다. 또한, 플래시 램프(FL)의 발광 시간은, 플래시 램프(FL)에 전력 공급을 행하는 램프 전원의 코일 상수에 의해서 조정할 수 있다.
또, 리플렉터(52)는, 복수의 플래시 램프(FL)의 상방에 그들 전체를 덮도록 설치되어 있다. 리플렉터(52)의 기본적인 기능은, 복수의 플래시 램프(FL)로부터 출사된 플래시광을 열처리 공간(65)측에 반사한다는 것이다. 리플렉터(52)는 알루미늄 합금판으로 형성되어 있고, 그 표면(플래시 램프(FL)에 면하는 측의 면)은 블러스트 처리에 의해 조면화 가공이 실시되어 있다.
챔버(6)의 하방에 설치된 할로겐 가열부(4)는, 하우징(41)의 내측에 복수개(본 실시형태에서는 40개)의 할로겐 램프(HL)를 내장하고 있다. 할로겐 가열부(4)는, 복수의 할로겐 램프(HL)에 의해서 챔버(6)의 하방으로부터 하측 챔버 창(64)을 통해 열처리 공간(65)으로의 광조사를 행하여 반도체 웨이퍼(W)를 가열하는 광조사부이다.
도 7은, 복수의 할로겐 램프(HL)의 배치를 나타내는 평면도이다. 40개의 할로겐 램프(HL)는 상하 2단으로 나누어 배치되어 있다. 유지부(7)에 가까운 상단에 20개의 할로겐 램프(HL)가 설치됨과 더불어, 상단보다 유지부(7)로부터 먼 하단에도 20개의 할로겐 램프(HL)가 설치되어 있다. 각 할로겐 램프(HL)는, 장척의 원통 형상을 갖는 봉형 램프이다. 상단, 하단 둘 다 20개의 할로겐 램프(HL)는, 각각의 길이 방향이 유지부(7)에 유지되는 반도체 웨이퍼(W)의 주면을 따라서(즉 수평 방향을 따라서) 서로 평행이 되도록 배열되어 있다. 따라서, 상단, 하단 둘 다 할로겐 램프(HL)의 배열에 의해서 형성되는 평면은 수평면이다.
또, 도 7에 나타낸 바와 같이, 상단, 하단 둘 다 유지부(7)에 유지되는 반도체 웨이퍼(W)의 중앙부에 대향하는 영역보다 주연부에 대향하는 영역에 있어서의 할로겐 램프(HL)의 설치 밀도가 높게 되어 있다. 즉, 상하단 둘 다, 램프 배열의 중앙부보다 주연부 쪽이 할로겐 램프(HL)의 설치 피치가 짧다. 이 때문에, 할로겐 가열부(4)로부터의 광조사에 의한 가열시에 온도 저하가 발생하기 쉬운 반도체 웨이퍼(W)의 주연부에 의해 많은 광량의 조사를 행할 수 있다.
또, 상단의 할로겐 램프(HL)로 이루어지는 램프군과 하단의 할로겐 램프(HL)로 이루어지는 램프군이 격자형상으로 교차하도록 배열되어 있다. 즉, 상단에 배치된 20개의 할로겐 램프(HL)의 길이 방향과 하단에 배치된 20개의 할로겐 램프(HL)의 길이 방향이 서로 직교하도록 합계 40개의 할로겐 램프(HL)가 설치되어 있다.
할로겐 램프(HL)는, 원통 형상의 유리관 내부에 설치된 필라멘트에 통전시킴으로써 필라멘트를 백열화시켜 발광시키는 필라멘트 방식의 광원이다. 유리관의 내부에는, 질소나 아르곤 등의 불활성 가스에 할로겐 원소(요오드, 브롬 등)를 미량 도입한 기체가 봉입되어 있다. 할로겐 원소를 도입함으로써, 필라멘트의 파손을 억제하면서 필라멘트의 온도를 고온으로 설정하는 것이 가능해진다. 따라서, 할로겐 램프(HL)는, 통상의 백열 전구에 비해 수명이 길고 또한 강한 광을 연속적으로 조사할 수 있다는 특성을 갖는다. 즉, 할로겐 램프(HL)는 적어도 1초 이상 연속해서 발광하는 연속 점등 램프이다. 또, 할로겐 램프(HL)는 봉형상 램프이기 때문에 장수명이며, 할로겐 램프(HL)를 수평 방향을 따르게 하여 배치함으로써 상방의 반도체 웨이퍼(W)로의 방사 효율이 우수한 것이 된다.
또, 할로겐 가열부(4)의 하우징(41) 내에도, 2단의 할로겐 램프(HL)의 하측에 리플렉터(43)가 설치되어 있다(도 1). 리플렉터(43)는, 복수의 할로겐 램프(HL)로부터 출사된 광을 열처리 공간(65)측에 반사한다.
다음에, 가스 링(90)의 구성에 대해서 설명을 계속한다. 도 8은, 가스 링(90)의 전체 외관을 나타내는 사시도이다. 개략 원통 형상의 챔버 측부(61)의 내벽면 상부에 장착되는 가스 링(90)은 원환 형상을 갖는다. 가스 링(90)은, 그 중심이 챔버 측부(61)의 중심과 일치하도록 장착된다. 즉, 가스 링(90)의 경방향 및 둘레 방향과 챔버 측부(61)의 경방향 및 둘레 방향은 일치한다. 가스 링(90)은, 상부 링(91)과 하부 링(92)을 구비한다. 도 9는, 상부 링(91)의 외관을 나타내는 사시도이다. 또, 도 10은, 하부 링(92)의 외관을 나타내는 사시도이다. 상부 링(91)과 하부 링(92)이 겹쳐져 가스 링(90)이 형성된다.
도 8, 10에 나타낸 바와 같이, 하부 링(92)의 외벽면에는 가스 공급구(93)가 형성되어 있다. 가스 공급구(93)는, 가스 링(90)의 경방향의 양단에 2개소 형성된다. 즉, 가스 공급구(93)는, 하부 링(92)의 외벽면에 가스 링(90)의 중심에서 봤을 때 180° 간격으로 대향하도록 2개소 형성된다. 2개소의 가스 공급구(93)에는, 가스 공급관(83)(도 1)이 접속된다.
또, 하부 링(92)에는, 복수의 통기 구멍(94)이 형성되어 있다. 이들 복수의 통기 구멍(94)은, 후술하는 제1 버퍼(95)와 제2 버퍼(96)를 연통하는 구멍이다. 2개소의 가스 공급구(93)와 복수의 통기 구멍(94)은, 가스 링(90)의 둘레방향에 있어서 상이한 위치에 설치되어 있다. 즉, 2개소의 가스 공급구(93)와 복수의 통기 구멍(94)은, 가스 링(90)의 중심에서 봤을 때 겹치지 않도록 설치되어 있다.
또한, 상부 링(91) 및 하부 링(92)에는 관통 구멍(26)이 설치되어 있다. 관통 구멍(26)은, 서셉터(74)에 유지된 반도체 웨이퍼(W)의 상면으로부터 방사된 적외광을 챔버 측부(61)의 외벽면에 부착된 상부 방사 온도계(25)(도 1)로 이끌기 위한 원통형의 구멍이다. 관통 구멍(26)은, 그 관통 방향의 축이 서셉터(74)에 유지된 반도체 웨이퍼(W)의 주면과 교차하도록, 수평 방향에 대해 경사져 설치되어 있다. 관통 구멍(26)의 열처리 공간(65)에 면하는 측의 단부에는, 상부 방사 온도계(25)가 측정 가능한 파장 영역의 적외광을 투과시키는 불화칼슘 재료로 이루어지는 투명창을 장착하도록 해도 된다. 또한, 도 8에 나타낸 바와 같이, 관통 구멍(26)은 2개소의 가스 공급구(93) 중 한쪽에 치우친 위치에 설치되어 있다.
도 11은, 가스 링(90)의 단면 구조를 나타내는 사시도이다. 또, 도 12는, 가스 링(90)의 내부 공간에 있어서의 처리 가스의 흐름을 나타내는 단면도이다. 가스 링(90)의 하부 링(92)의 내부에는, 제1 버퍼(95)가 형성되어 있다. 제1 버퍼(95)는 대략 원환 형상의 공간이다. 가스 링(90)이 챔버 측부(61)의 내벽면 상부에 장착됨으로써, 제1 버퍼(95)의 하단의 개구 부분이 챔버 측부(61)에 의해 폐색되어, 제1 버퍼(95)가 밀폐 공간이 된다. 단, 제1 버퍼(95)는 완전한 원환 형상의 공간이 아니며, 상부 방사 온도계(25)를 위한 관통 구멍(26)이 설치된 부분에 의해서 차단되어 있다. 상기 가스 공급구(93)는 제1 버퍼(95)에 연통하도록 형성되어 있고, 가스 공급관(83)으로부터 송급된 처리 가스는, 2개소의 가스 공급구(93)를 통해 우선 제1 버퍼(95)에 흘러들어간다.
또, 상부 링(91)과 하부 링(92)이 겹쳐짐으로써, 가스 링(90)의 내부에는 제2 버퍼(96)가 형성된다. 제2 버퍼(96)도 대략 원환 형상의 공간이다. 단, 제2 버퍼(96)도 제1 버퍼(95)와 마찬가지로 완전한 원환 형상의 공간은 아니며, 관통 구멍(26)이 설치된 부분에 의해서 차단되어 있다(도 10 참조).
제1 버퍼(95)와 제2 버퍼(96)는 복수의 통기 구멍(94)에 의해서 연통된다. 제2 버퍼(96)의 용적은 제1 버퍼(95)의 용적보다 크다. 제1 버퍼(95)에 흘러들어간 처리 가스는, 복수의 통기 구멍(94)을 통과해 제2 버퍼(96)에 유입된다. 이 때, 2개소의 가스 공급구(93)와 복수의 통기 구멍(94)은, 가스 링(90)의 둘레방향에 있어서 상이한 위치에 설치되어 있으므로, 가스 공급구(93)로부터 공급된 처리 가스가 직접 통기 구멍(94)을 통과해 제2 버퍼(96)에 흘러들어가는 것은 방지된다.
또한, 상부 링(91)과 하부 링(92)이 겹쳐짐으로써, 제2 버퍼(96)의 상측에 래버린스부(97)가 형성된다. 보다 구체적으로는, 상부 링(91)에 하측을 향해 돌출하도록 형성된 복수의 동심원의 원통형 벽과, 하부 링(92)에 상측을 향해 돌출하도록 형성된 복수의 동심원의 원통형 벽이 소정의 간격을 두고 엇갈리게 조합됨으로써 래버린스부(97)가 형성된다. 이 래버린스부(97)는, 가스 링(90)의 경방향을 따라서는 굴곡진 유로가 된다.
또, 도 9에 나타낸 바와 같이, 래버린스부(97)를 형성하는 상부 링(91)의 내벽면에는 복수의 돌기부(98)가 설치되어 있다. 돌기부(98)는, 래버린스부(97)에 있어서의 가스 링(90)의 둘레방향을 따른 처리 가스의 흐름에 저항을 부여한다. 또한, 래버린스부(97)에는 관통 구멍(26)이 형성되어 있지 않고, 래버린스부(97)의 유로는 가스 링(90)의 둘레방향의 전체 둘레에 걸쳐 연통하고 있다.
제2 버퍼(96)에 채워진 처리 가스는, 래버린스부(97)에 유입되고, 가스 링(90)의 경방향을 따라서 내측에서 외측을 향해 래버린스부(97)의 굴곡진 유로를 흐른다. 이 때, 처리 가스가 굴곡진 유로를 흐르기 때문에, 래버린스부(97)는 가스 링(90)의 경방향을 따른 처리 가스의 흐름에 저항을 부여하게 된다. 또, 래버린스부(97)에 유입된 처리 가스는, 래버린스부(97)의 유로 내를 가스 링(90)의 둘레 방향을 따라서 흐른다. 이 때, 래버린스부(97)에 설치된 돌기부(98)는, 가스 링(90)의 둘레방향을 따른 처리 가스의 흐름에 저항을 부여하게 된다.
도 12에 나타낸 바와 같이, 가스 링(90)의 상부 링(91)의 상면과 석영의 상측 챔버 창(63) 사이에는 소정 간격의 간극이 형성되어 있고, 이 간극이 처리 가스의 토출 유로(99)가 된다. 그리고, 열처리 공간(65)에 면하는 토출 유로(99)의 선단이 가스 토출구(81)가 된다. 래버린스부(97)의 굴곡진 유로를 통과하여 래버린스부(97)로부터 유출된 처리 가스는 토출 유로(99)에 흘러들어가, 가스 링(90)의 경방향을 따라서 외측에서 내측을 향해서 토출 유로(99)를 흐르고, 가스 토출구(81)로부터 열처리 공간(65)에 토출된다.
도 1로 되돌아와서, 제어부(3)는, 열처리 장치(1)에 설치된 상기 다양한 동작 기구를 제어한다. 제어부(3)의 하드웨어로서의 구성은 일반적인 컴퓨터와 동일하다. 즉, 제어부(3)는, 각종 연산 처리를 행하는 회로인 CPU, 기본 프로그램을 기억하는 독출 전용 메모리인 ROM, 각종 정보를 기억하는 읽고 쓰기 가능한 메모리인 RAM 및 제어용 소프트웨어나 데이터 등을 기억해두는 자기 디스크를 구비하고 있다. 제어부(3)의 CPU가 소정의 처리 프로그램을 실행함으로써 열처리 장치(1)에 있어서의 처리가 진행된다.
상기 구성 이외에도 열처리 장치(1)는, 반도체 웨이퍼(W)의 열처리시에 할로겐 램프(HL) 및 플래시 램프(FL)로부터 발생하는 열에너지에 의한 할로겐 가열부(4), 플래시 가열부(5) 및 챔버(6)의 과잉의 온도 상승을 방지하기 위해서, 다양한 냉각용 구조를 구비하고 있다. 예를 들면, 챔버(6)의 벽체에는 수랭관(도시 생략)이 설치되어 있다. 또, 할로겐 가열부(4) 및 플래시 가열부(5)는, 내부에 기체류를 형성하여 배열하는 공랭 구조로 되어 있다. 또, 상측 챔버 창(63)과 램프광 방사창(53)의 간극에도 공기가 공급되어, 플래시 가열부(5) 및 상측 챔버 창(63)을 냉각한다.
다음에, 열처리 장치(1)에 있어서의 반도체 웨이퍼(W)의 처리 순서에 대해서 설명한다. 여기서 처리 대상이 되는 반도체 웨이퍼(W)는 이온 주입법에 의해 불순물(이온)이 첨가된 반도체 기판이다. 그 불순물의 활성화가 열처리 장치(1)에 의한 플래시 광조사 가열 처리(어닐링)에 의해 실행된다. 이하에 설명하는 열처리 장치(1)의 처리 순서는, 제어부(3)가 열처리 장치(1)의 각 동작 기구를 제어함으로써 진행된다.
우선, 급기를 위한 밸브(84)가 개방됨과 더불어, 배기용 밸브(89, 192)가 개방되어 챔버(6) 내에 대한 급배기가 개시된다. 밸브(84)가 개방되면, 처리 가스 공급원(85)으로부터 가스 링(90)에 처리 가스로서의 질소 가스가 송급되고, 가스 링(90)의 내부 공간을 통과한 질소 가스가 가스 토출구(81)로부터 열처리 공간(65)에 토출된다. 또, 밸브(89)가 개방되면, 가스 배기 구멍(86)으로부터 챔버(6) 내의 기체가 배기된다. 이에 의해, 챔버(6) 내의 열처리 공간(65)의 상부로부터 공급된 질소 가스가 하방으로 흘러, 열처리 공간(65)의 하부로부터 배기된다.
또, 밸브(192)가 개방됨으로써, 반송 개구부(66)로부터도 챔버(6) 내의 기체가 배기된다. 또한, 도시 생략한 배기 기구에 의해서 이재 기구(10)의 구동부 주변의 분위기도 배기된다. 또한, 열처리 장치(1)에 있어서의 반도체 웨이퍼(W)의 열처리시에는 질소 가스가 열처리 공간(65)에 계속적으로 공급되고 있으며, 그 공급량은 처리 공정에 따라서 적절히 변경된다.
계속해서, 게이트 밸브(185)가 열려 반송 개구부(66)가 개방되고, 장치 외부의 반송 로봇에 의해 반송 개구부(66)를 통해 이온 주입 후의 반도체 웨이퍼(W)가 챔버(6) 내의 열처리 공간(65)에 반입된다. 이 때에는, 반도체 웨이퍼(W)의 반입에 따라 장치 외부의 분위기를 끌어들일 우려가 있는데, 챔버(6)에는 질소 가스가 계속 공급되고 있기 때문에, 반송 개구부(66)로부터 질소 가스가 유출되어, 그러한 외부 분위기의 끌어들임을 최소한으로 억제할 수 있다.
반송 로봇에 의해서 반입된 반도체 웨이퍼(W)는 유지부(7)의 바로 윗쪽 위치까지 진출하여 정지한다. 그리고, 이재 기구(10)의 한 쌍의 이재 암(11)이 퇴피 위치로부터 이재 동작 위치로 수평 이동하여 상승함으로써, 리프트 핀(12)이 관통 구멍(79)을 통과해 서셉터(74)의 유지 플레이트(75)의 상면으로부터 돌출해 반도체 웨이퍼(W)를 수취한다. 이 때, 리프트 핀(12)은 기판 지지 핀(77)의 상단보다 상방으로까지 상승한다.
반도체 웨이퍼(W)가 리프트 핀(12)에 재치된 후, 반송 로봇이 열처리 공간(65)으로부터 퇴출되고, 게이트 밸브(185)에 의해서 반송 개구부(66)가 폐쇄된다. 그리고, 한 쌍의 이재 암(11)이 하강함으로써, 반도체 웨이퍼(W)는 이재 기구(10)로부터 유지부(7)의 서셉터(74)에 수도되어 수평 자세로 하방으로부터 유지된다. 반도체 웨이퍼(W)는, 유지 플레이트(75) 상에 세워져 설치된 복수의 기판 지지 핀(77)에 의해서 지지되어 서셉터(74)에 유지된다. 또, 반도체 웨이퍼(W)는, 패턴 형성이 이루어져 불순물이 주입된 표면을 상면으로 하여 유지부(7)에 유지된다. 복수의 기판 지지 핀(77)에 의해서 지지된 반도체 웨이퍼(W)의 이면(표면과는 반대측인 주면)과 유지 플레이트(75)의 유지면(75a) 사이에는 소정의 간격이 형성된다. 서셉터(74)의 하방에까지 하강한 한 쌍의 이재 암(11)은 수평 이동 기구(13)에 의해서 퇴피 위치, 즉 오목부(62)의 내측으로 퇴피된다.
반도체 웨이퍼(W)가 석영으로 형성된 유지부(7)의 서셉터(74)에 의해서 수평 자세로 하방으로부터 유지된 후, 할로겐 가열부(4)의 40개의 할로겐 램프(HL)가 일제히 점등하여 예비 가열(어시스트 가열)이 개시된다. 할로겐 램프(HL)로부터 출사된 할로겐 광은, 석영으로 형성된 하측 챔버 창(64) 및 서셉터(74)를 투과하여 반도체 웨이퍼(W)의 하면에 조사된다. 할로겐 램프(HL)로부터의 광조사를 받음으로써 반도체 웨이퍼(W)가 예비 가열되어 온도가 상승한다. 또한, 이재 기구(10)의 이재 암(11)은 오목부(62)의 내측으로 퇴피되어 있기 때문에, 할로겐 램프(HL)에 의한 가열의 장해가 되는 경우는 없다.
할로겐 램프(HL)에 의한 예비 가열을 행할 때에는, 반도체 웨이퍼(W)의 온도가 하부 방사 온도계(20)에 의해서 측정되고 있다. 즉, 서셉터(74)에 유지된 반도체 웨이퍼(W)의 하면으로부터 개구부(78)를 통해 방사된 적외광을 투명창(21)을 통해 하부 방사 온도계(20)가 수광하여 승온 중인 웨이퍼 온도를 측정한다. 측정된 반도체 웨이퍼(W)의 온도는 제어부(3)에 전달된다. 제어부(3)는, 할로겐 램프(HL)로부터의 광조사에 의해서 승온하는 반도체 웨이퍼(W)의 온도가 소정의 예비 가열 온도 T1에 도달했는지 아닌지를 감시하면서, 할로겐 램프(HL)의 출력을 제어한다. 즉, 제어부(3)는, 하부 방사 온도계(20)에 의한 측정치에 의거해, 반도체 웨이퍼(W)의 온도가 예비 가열 온도 T1이 되도록 할로겐 램프(HL)의 출력을 피드백 제어한다. 예비 가열 온도 T1은, 반도체 웨이퍼(W)에 첨가된 불순물이 열로 인해 확산될 우려가 없는, 200℃ 내지 800℃ 정도, 바람직하게는 350℃ 내지 600℃ 정도가 된다(본 실시형태에서는 600℃).
반도체 웨이퍼(W)의 온도가 예비 가열 온도 T1에 도달한 후, 제어부(3)는 반도체 웨이퍼(W)를 그 예비 가열 온도 T1로 잠시 유지한다. 구체적으로는, 하부 방사 온도계(20)에 의해서 측정되는 반도체 웨이퍼(W)의 온도가 예비 가열 온도 T1에 도달한 시점에서 제어부(3)가 할로겐 램프(HL)의 출력을 조정해, 반도체 웨이퍼(W)의 온도를 거의 예비 가열 온도 T1로 유지하고 있다.
이러한 할로겐 램프(HL)에 의한 예비 가열을 행함으로써, 반도체 웨이퍼(W)의 전체를 예비 가열 온도 T1로 균일하게 승온시키고 있다. 할로겐 램프(HL)에 의한 예비 가열의 단계에 있어서는, 보다 방열이 발생하기 쉬운 반도체 웨이퍼(W)의 주연부의 온도가 중앙부보다 저하되는 경향이 있으나, 할로겐 가열부(4)에 있어서의 할로겐 램프(HL)의 설치 밀도는, 기판 W의 중앙부에 대향하는 영역보다 주연부에 대향하는 영역이 높게 되어 있다. 이 때문에, 방열이 발생하기 쉬운 반도체 웨이퍼(W)의 주연부에 조사되는 광량이 많아져, 예비 가열 단계에 있어서의 반도체 웨이퍼(W)의 면내 온도 분포를 균일한 것으로 할 수 있다.
반도체 웨이퍼(W)의 온도가 예비 가열 온도 T1에 도달하여 소정 시간이 경과한 시점에서 플래시 가열부(5)의 플래시 램프(FL)가 서셉터(74)에 유지된 반도체 웨이퍼(W)의 표면에 플래시 광조사를 행한다. 이 때, 플래시 램프(FL)로부터 방사되는 플래시광의 일부는 직접 챔버(6) 내를 향하고, 다른 일부는 일단 리플렉터(52)에 의해 반사하고 나서 챔버(6) 내를 향하고, 이들 플래시광의 조사에 의해 반도체 웨이퍼(W)의 플래시 가열이 행해진다.
플래시 가열은, 플래시 램프(FL)로부터의 플래시광(섬광) 조사에 의해 행해지므로, 반도체 웨이퍼(W)의 표면 온도를 단시간에 상승시킬 수 있다. 즉, 플래시 램프(FL)로부터 조사되는 플래시광은, 미리 콘덴서에 모아진 정전 에너지가 매우 짧은 광펄스로 변환된, 조사 시간이 0.1밀리세컨드 이상 100밀리세컨드 이하 정도의 매우 짧고 강한 섬광이다. 그리고, 플래시 램프(FL)로부터의 플래시 광조사에 의해 플래시 가열되는 반도체 웨이퍼(W)의 표면 온도는, 순간적으로 1000℃ 이상의 처리 온도 T2까지 상승해, 반도체 웨이퍼(W)에 주입된 불순물이 활성화된 후, 표면 온도가 급속히 하강한다. 이와 같이, 열처리 장치(1)에서는, 반도체 웨이퍼(W)의 표면 온도를 매우 단시간에 승강시킬 수 있기 때문에, 반도체 웨이퍼(W)에 주입된 불순물의 열로 인한 확산을 억제하면서 불순물의 활성화를 행할 수 있다. 또한, 불순물의 활성화에 필요한 시간은 그 열확산에 필요한 시간에 비해 매우 짧기 때문에, 0.1밀리세컨드 내지 100밀리세컨드 정도의 확산이 발생하지 않는 단시간이어도 활성화는 완료된다. 또, 플래시 광조사시의 반도체 웨이퍼(W)의 표면 온도를 상부 방사 온도계(25)에 의해서 측정하도록 해도 된다.
플래시 가열 처리가 종료된 후, 소정 시간 경과 후에 할로겐 램프(HL)가 소등한다. 이에 의해, 반도체 웨이퍼(W)가 예비 가열 온도 T1로부터 급속히 강온한다. 강온 중의 반도체 웨이퍼(W)의 온도는 하부 방사 온도계(20)에 의해서 측정되고, 그 측정 결과는 제어부(3)에 전달된다. 제어부(3)는, 하부 방사 온도계(20)의 측정 결과보다 반도체 웨이퍼(W)의 온도가 소정 온도까지 강온했는지 아닌지를 감시한다. 그리고, 반도체 웨이퍼(W)의 온도가 소정 이하로까지 강온한 후, 이재 기구(10)의 한 쌍의 이재 암(11)이 다시 퇴피 위치로부터 이재 동작 위치로 수평 이동하여 상승함으로써, 리프트 핀(12)이 서셉터(74)의 상면으로부터 돌출해 열처리 후의 반도체 웨이퍼(W)를 서셉터(74)로부터 수취한다. 계속해서, 게이트 밸브(185)에 의해 폐쇄되어 있던 반송 개구부(66)가 개방되어, 리프트 핀(12) 상에 재치된 반도체 웨이퍼(W)가 장치 외부의 반송 로봇에 의해 반출되고, 열처리 장치(1)에 있어서의 반도체 웨이퍼(W)의 가열 처리가 완료된다.
그런데, 플래시 가열시에는 매우 높은 에너지를 갖는 플래시광을 순간적으로 반도체 웨이퍼(W)의 표면에 조사하기 때문에, 한순간에 반도체 웨이퍼(W)의 표면 온도가 급속하게 상승한다. 그 결과, 반도체 웨이퍼(W)의 표면에 급격한 열팽창이 발생해 변형되어, 반도체 웨이퍼(W)의 하면과 서셉터(74)의 슬라이딩이나 기류의 흐트러짐이 발생해 챔버(6) 내에 파티클이 발생하는 일이 있었다. 이러한 파티클은 반도체 웨이퍼(W)를 오염시키는 원인이 되므로, 챔버(6) 내에 공급하는 질소 가스의 유량을 증대시킴으로써, 발생한 파티클을 효율적으로 챔버(6)로부터 배출하는 것이 효과적이다. 또한, 질소 가스의 유량을 증대시키는 타이밍은, 특별히 한정되는 것은 아니며, 적절한 시점(예를 들면, 플래시 광조사의 직전 또는 직후)으로 할 수 있다.
본 실시형태에 있어서는, 질소 가스의 유량을 예를 들면 100리터/분의 대유량으로 증대시켜, 파티클을 챔버(6)로부터 배출한다. 처리 가스 공급원(85)으로부터 100리터/분의 대유량으로 송급된 질소 가스는 2개소의 가스 공급구(93)의 각각으로부터 50리터/분씩 가스 링(90)의 제1 버퍼(95)에 흘러들어간다. 제1 버퍼(95)에 흘러들어간 질소 가스는, 즉시 제1 버퍼(95) 내를 가스 링(90)의 둘레방향을 따라서 균등하게 확산되어, 제1 버퍼(95)의 내부 공간에 채워진다. 이에 의해, 대유량의 질소 가스의 유속이 저하하게 된다. 또한, 제1 버퍼(95)는, 관통 구멍(26)이 설치된 부분에서는 차단되고 있기 때문에, 당해 부분을 넘어 질소 가스가 흐르는 일은 없다. 또, 2개소의 가스 공급구(93)와 복수의 통기 구멍(94)은, 가스 링(90)의 둘레방향에 있어서 겹치지 않도록 상이한 위치에 설치되어 있으므로, 가스 공급구(93)로부터 공급된 질소 가스가 그대로 직접 통기 구멍(94)을 통과해 제2 버퍼(96)에 흘러들어가는 것은 방지된다.
제1 버퍼(95)에 채워진 질소 가스는, 복수의 통기 구멍(94)을 통과해 제2 버퍼(96)에 유입된다. 제1 버퍼(95)보다 용적이 큰 제2 버퍼(96)에 흘러들어간 질소 가스는, 제2 버퍼(96) 내를 가스 링(90)의 둘레방향을 따라서 더욱 균등하게 확산되어 제2 버퍼(96)의 내부 공간에 채워진다. 제1 버퍼(95)로부터 보다 용적이 큰 제2 버퍼(96)에 질소 가스가 흘러들어감으로써, 질소 가스의 유속은 더욱 저하되게 된다. 또한, 제2 버퍼(96)도 관통 구멍(26)이 설치된 부분에서는 차단되어 있기 때문에, 당해 부분을 넘어 질소 가스가 흐르는 일은 없다.
제2 버퍼(96)에 채워진 질소 가스는, 래버린스부(97)에 유입된다. 래버린스부(97)에 유입된 질소 가스는, 가스 링(90)의 경방향을 따라서 내측에서 외측을 향해서 래버린스부(97)의 굴곡진 유로를 흐른다. 질소 가스는 굴곡진 유로를 흐르기 때문에, 가스 링(90)의 경방향을 따른 질소 가스의 흐름은 래버린스부(97)로부터 저항을 받는다. 그 결과, 가스 링(90)의 경방향을 따른 질소 가스의 유속은 더욱 저하되게 된다.
또, 래버린스부(97)에 유입된 질소 가스는, 래버린스부(97)의 유로 내를 가스 링(90)의 둘레방향을 따라서 흐른다. 래버린스부(97) 내에 있어서의 가스 링(90)의 둘레방향을 따른 질소 가스의 흐름은 돌기부(98)로부터 저항을 받는다. 그 결과, 가스 링(90)의 둘레방향을 따른 질소 가스의 유속도 저하되게 된다.
래버린스부(97)를 통과한 질소 가스는, 가스 링(90)과 상측 챔버 창(63) 사이에 형성된 토출 유로(99)에 흘러들어간다. 토출 유로(99)에 흘러들어간 질소 가스는, 가스 링(90)의 경방향을 따라서 외측에서 내측을 향해 토출 유로(99)를 흐르고, 토출 유로(99)의 선단에 형성된 가스 토출구(81)로부터 열처리 공간(65)을 향해서 토출된다. 래버린스부(97)로부터 유출된 질소 가스가 토출 유로(99)에 흘러들어가는 시점에서는, 가스 링(90)의 경방향 및 둘레방향을 따른 질소 가스의 유속이 충분히 저하되고 있기 때문에, 질소 가스는 토출 유로(99) 내를 부드럽게 흐르게 된다. 그 결과, 가스 토출구(81)의 전체 둘레에 걸쳐 질소 가스가 균일하게 토출되게 된다.
이와 같이, 본 실시형태에 있어서는, 버퍼부 및 래버린스 구조를 구비한 가스 링(90)을 통해 질소 가스를 공급함으로써, 챔버(6) 내에 공급하는 질소 가스의 유량을 증대시켜도, 열처리 공간(65)의 전체 둘레에 걸쳐 균일하게 질소 가스를 공급할 수 있다. 그 결과, 반도체 웨이퍼(W)의 온도 분포의 면내 균일성이 저하되는 것을 방지할 수 있다.
이상, 본 발명의 실시형태에 대해서 설명했는데, 이 발명은 그 취지를 일탈하지 않는 한 상술한 것 이외에 다양한 변경을 행하는 것이 가능하다. 예를 들면, 상기 실시형태의 래버린스부(97) 대신에, 도 13 또는 도 14에 나타낸 구조를 가스 링에 설치하도록 해도 된다.
도 13은, 메시판(291, 292)을 설치한 가스 링(290)의 단면 구조를 나타내는 사시도이다. 도 13에 나타낸 가스 링(290)도 상기 실시형태와 동일한 제1 버퍼(95) 및 제2 버퍼(96)를 구비하고 있다. 그리고, 가스 링(290)은, 상기 실시형태의 래버린스부(97) 대신에, 2장의 메시판(291, 292)을 설치하고 있다. 2장의 메시판(291, 292)의 각각은, 다수의 관통 구멍을 형성한 판형 부재이다. 도 13에 나타낸 가스 링(290)에 있어서는, 제2 버퍼(96)에 채워진 질소 가스가 2장의 메시판(291, 292)을 통과할 때에, 가스 링(290)의 경방향을 따른 질소 가스의 흐름은 2장의 메시판(291, 292)으로부터 저항을 받게 된다. 또한, 도 13의 가스 링(290)에도, 상기 실시형태의 돌기부(98)와 동일한 돌기부가 설치되어 있고, 가스 링(290)의 둘레방향을 따른 질소 가스의 흐름은 당해 돌기부로부터 저항을 받는다. 그 결과, 상기 실시형태와 마찬가지로, 가스 링(290)과 상측 챔버 창(63) 사이에 형성된 토출 유로(99)에 질소 가스가 흘러들어가는 시점에서는, 가스 링(290)의 경방향 및 둘레방향을 따른 질소 가스의 유속이 충분히 저하되어 있으며, 열처리 공간(65)의 전체 둘레에 걸쳐 균일하게 질소 가스를 공급할 수 있다.
한편, 도 14는, 복수의 기둥형 부재(391)를 설치한 가스 링(390)의 단면 구조를 나타내는 사시도이다. 도 14에 나타낸 가스 링(390)도 상기 실시형태와 동일한 제1 버퍼(95) 및 제2 버퍼(96)를 구비하고 있다. 그리고, 가스 링(390)은, 래버린스부(97) 대신에, 복수의 기둥형 부재(391)를 설치하고 있다. 도 14에 나타낸 가스 링(390)에 있어서는, 제2 버퍼(96)에 채워진 질소 가스가 복수의 기둥형 부재(391)의 간극을 통과할 때에, 가스 링(390)의 경방향을 따른 질소 가스의 흐름은 복수의 기둥형 부재(391)로부터 저항을 받게 된다. 또, 도 14에 나타낸 가스 링(390)에 있어서는, 가스 링(390)의 둘레방향을 따른 질소 가스의 흐름도 복수의 기둥형 부재(391)로부터 저항을 받게 된다. 그 결과, 상기 실시형태와 동일하게, 가스 링(390)과 상측 챔버 창(63) 사이에 형성된 토출 유로(99)에 질소 가스가 흘러들어가는 시점에서는, 가스 링(390)의 경방향 및 둘레방향을 따른 질소 가스의 유속이 충분히 저하되어 있고, 열처리 공간(65)의 전체 둘레에 걸쳐 균일하게 질소 가스를 공급할 수 있다.
집약하면, 제2 버퍼(96)에 채워진 질소 가스를 가스 링의 경방향을 따라서 내측에서 외측을 향해 흐르게 하면서 그 질소 가스의 흐름에 저항을 부여해 가스 링의 경방향을 따른 질소 가스의 유속을 저하시키는 저항부(래버린스부(97), 메시판(291, 292), 복수의 기둥형 부재(391))를 가스 링에 설치하도록 하면 된다. 이러한 저항부를 설치함으로써, 당해 저항부로부터 유출된 유속이 저하된 질소 가스가 가스 링의 경방향을 따라서 외측에서 내측을 향해 토출 유로(99)를 부드럽게 흘러, 가스 토출구(81)의 전체 둘레에 걸쳐 질소 가스를 균일하게 토출할 수 있다.
또, 상기 실시형태에 있어서는, 제1 버퍼(95) 및 제2 버퍼(96)의 2단의 버퍼를 설치하고 있었는데, 버퍼는 1단이어도 된다. 또, 원통 형상의 챔버 측부(61)에 직접 상기 실시형태의 가스 링의 내부 구조와 동일한 구조를 형성하도록 해도 된다. 즉, 챔버의 외부로부터 공급된 처리 가스를 원통 형상의 챔버 측부(61)의 둘레방향을 따라서 확산시키는 버퍼부와, 당해 버퍼부에 채워진 처리 가스를 챔버 측부(61)의 경방향을 따라서 내측에서 외측을 향해서 흐르게 하면서 그 처리 가스의 흐름에 저항을 부여해 처리 가스의 경방향을 따른 유속을 저하시키는 저항부를 구비하는 형태이면 된다.
또, 상기 실시형태에 있어서는, 플래시 가열부(5)에 30개의 플래시 램프(FL)를 구비하도록 하고 있었는데, 이것으로 한정되는 것은 아니며, 플래시 램프(FL)의 개수는 임의의 수로 할 수 있다. 또, 플래시 램프(FL)는 크세논 플래시 램프로 한정되는 것은 아니며, 크립톤 플래시 램프여도 된다. 또, 할로겐 가열부(4)에 구비하는 할로겐 램프(HL)의 개수도 40개로 한정되는 것은 아니며, 임의의 수로 할 수 있다.
또, 상기 실시형태에 있어서는, 1초 이상 연속해서 발광하는 연속 점등 램프로서 필라멘트 방식의 할로겐 램프(HL)를 이용해 반도체 웨이퍼(W)의 예비 가열을 행했지만, 이것으로 한정되는 것은 아니며, 할로겐 램프(HL) 대신에 방전형 아크 램프(예를 들면, 크세논 아크 램프)를 연속 점등 램프로서 이용하여 예비 가열을 행하도록 해도 된다.
또, 본 발명에 따른 열처리 장치에 의해서 처리 대상이 되는 기판은 반도체 웨이퍼로 한정되는 것은 아니며, 액정 표시 장치 등의 플랫 패널 디스플레이에 이용하는 유리 기판이나 태양전지용 기판이어도 된다. 또, 본 발명에 따른 열처리 장치에 의해, 고유전율 게이트 절연막(High-k막)의 열처리, 금속과 실리콘의 접합, 혹은 폴리실리콘의 결정화를 행하도록 해도 된다.
또, 본 발명에 따른 기술은, 플래시 램프 어닐링 장치로 한정되는 것은 아니며, 할로겐 램프를 사용한 매엽식 램프 어닐링 장치나 CVD 장치 등의 챔버 내에 소정의 처리 가스를 공급하는 장치에 적합하게 적용할 수 있다.
1: 열처리 장치 3: 제어부
4: 할로겐 가열부 5: 플래시 가열부
6: 챔버 7: 유지부
10: 이재 기구 20: 하부 방사 온도계
25: 상부 방사 온도계 63: 상측 챔버 창
64: 하측 챔버 창 65: 열처리 공간
74: 서셉터 81: 가스 토출구
83: 가스 공급관 90, 290, 390: 가스 링
91: 상부 링 92: 하부 링
93: 가스 공급구 94: 통기 구멍
95: 제1 버퍼 96: 제2 버퍼
97: 래버린스부 98: 돌기부
99: 토출 유로 291, 292: 메시판
391: 기둥형 부재 FL: 플래시 램프
HL: 할로겐 램프 W: 반도체 웨이퍼

Claims (9)

  1. 기판에 광을 조사함으로써 이 기판을 가열하는 열처리 장치로서,
    원통 형상의 측벽을 갖는 챔버와,
    상기 챔버 내에서 기판을 유지하는 유지부와,
    상기 유지부에 유지된 상기 기판에 광을 조사하는 광조사부와,
    상기 측벽의 일방측 개구를 폐색하도록 설치되고, 상기 광조사부로부터 출사 된 광을 상기 챔버 내에 투과시키는 석영창과,
    상기 측벽에 부착되고, 상기 챔버의 외부로부터 공급된 처리 가스를 상기 챔버의 내부로 이끄는 원환 형상의 가스 링을 구비하고,
    상기 가스 링은,
    상기 챔버의 외부로부터 공급된 상기 처리 가스를 상기 가스 링의 둘레방향을 따라서 확산시키는 버퍼부와,
    상기 버퍼부에 채워진 상기 처리 가스를 상기 가스 링의 경방향을 따라서 내측에서 외측을 향해 흐르게 하면서 상기 처리 가스의 흐름에 저항을 부여해 상기 처리 가스의 경방향을 따른 유속을 저하시키는 저항부를 구비하고,
    상기 가스 링과 상기 석영창 사이에, 상기 저항부로부터 유출된 상기 처리 가스를 상기 가스 링의 경방향을 따라서 외측에서 내측을 향해 흐르게 하여 상기 챔버의 내부에 토출하는 토출 유로를 형성하는 것을 특징으로 하는 열처리 장치.
  2. 청구항 1에 있어서,
    상기 저항부에는, 상기 가스 링의 둘레방향을 따른 상기 처리 가스의 흐름에 저항을 부여해 상기 처리 가스의 둘레방향을 따른 유속을 저하시키는 돌기부가 설치되는 것을 특징으로 하는 열처리 장치.
  3. 청구항 1 또는 청구항 2에 있어서,
    상기 버퍼부는,
    상기 챔버의 외부로부터 공급된 상기 처리 가스가 유입되는 원환형의 공간인 제1 버퍼와,
    상기 제1 버퍼보다 큰 용적을 갖고, 상기 제1 버퍼에 채워진 상기 처리 가스가 유입되는 제2 버퍼를 구비하는 것을 특징으로 하는 열처리 장치.
  4. 청구항 1에 있어서,
    상기 저항부는 래버린스 구조를 갖는 것을 특징으로 하는 열처리 장치.
  5. 청구항 1에 있어서,
    상기 저항부는 복수의 구멍을 형성한 메시판을 갖는 것을 특징으로 하는 열처리 장치.
  6. 청구항 1에 있어서,
    상기 저항부는 복수의 기둥형 부재를 구비하는 것을 특징으로 하는 열처리 장치.
  7. 기판에 광을 조사함으로써 이 기판을 가열하는 열처리 장치로서,
    원통 형상의 측벽을 갖는 챔버와,
    상기 챔버 내에서 기판을 유지하는 유지부와,
    상기 유지부에 유지된 상기 기판에 광을 조사하는 광조사부와,
    상기 챔버의 외부로부터 공급된 처리 가스를 상기 측벽의 둘레방향을 따라서 확산시키는 버퍼부와,
    상기 버퍼부에 채워진 상기 처리 가스를 상기 측벽의 경방향을 따라서 내측에서 외측을 향해 흐르게 하면서 상기 처리 가스의 흐름에 저항을 부여해 상기 처리 가스의 경방향을 따른 유속을 저하시키는 저항부와,
    상기 저항부로부터 유출된 상기 처리 가스를 상기 측벽의 경방향을 따라서 외측에서 내측을 향해 흐르게 하여 상기 챔버의 내부에 토출하는 토출 유로를 구비하는 것을 특징으로 하는 열처리 장치.
  8. 청구항 7에 있어서,
    상기 저항부에는, 상기 측벽의 둘레방향을 따른 상기 처리 가스의 흐름에 저항을 부여해 상기 처리 가스의 둘레방향을 따른 유속을 저하시키는 돌기부가 설치되는 것을 특징으로 하는 열처리 장치.
  9. 청구항 7 또는 청구항 8에 있어서,
    상기 버퍼부는,
    상기 챔버의 외부로부터 공급된 상기 처리 가스가 유입되는 원환형의 공간인 제1 버퍼와,
    상기 제1 버퍼보다 큰 용적을 갖고, 상기 제1 버퍼에 채워진 상기 처리 가스가 유입되는 제2 버퍼를 구비하는 것을 특징으로 하는 열처리 장치.
KR1020180054934A 2017-05-17 2018-05-14 열처리 장치 KR102103069B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2017-097881 2017-05-17
JP2017097881A JP6837911B2 (ja) 2017-05-17 2017-05-17 熱処理装置

Publications (2)

Publication Number Publication Date
KR20180126377A KR20180126377A (ko) 2018-11-27
KR102103069B1 true KR102103069B1 (ko) 2020-04-21

Family

ID=64272098

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180054934A KR102103069B1 (ko) 2017-05-17 2018-05-14 열처리 장치

Country Status (4)

Country Link
US (1) US10903095B2 (ko)
JP (1) JP6837911B2 (ko)
KR (1) KR102103069B1 (ko)
TW (1) TWI719298B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
JP6925213B2 (ja) * 2017-09-22 2021-08-25 東京エレクトロン株式会社 加熱処理装置及び加熱処理方法
TWI823442B (zh) * 2018-10-28 2023-11-21 美商應用材料股份有限公司 具有退火迷你環境的處理腔室
JP7312622B2 (ja) * 2019-06-27 2023-07-21 東京エレクトロン株式会社 光照射装置、光照射方法及び記憶媒体
CN112526827A (zh) * 2019-09-19 2021-03-19 株式会社斯库林集团 曝光装置
JP7335763B2 (ja) * 2019-09-19 2023-08-30 株式会社Screenホールディングス 露光装置
KR102357066B1 (ko) * 2019-10-31 2022-02-03 세메스 주식회사 기판 처리 장치
JP7319894B2 (ja) 2019-11-18 2023-08-02 株式会社Screenホールディングス 熱処理装置
KR102622987B1 (ko) * 2020-12-10 2024-01-11 세메스 주식회사 기판 처리 장치 및 이에 제공되는 필러 부재

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200416139Y1 (ko) * 2006-01-18 2006-05-12 엔티엠 주식회사 기판 건조장치
JP2016526279A (ja) * 2013-04-30 2016-09-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分散されたガス流路を有する流量制御ライナー
JP2017045982A (ja) * 2015-08-26 2017-03-02 株式会社Screenホールディングス 熱処理方法および熱処理装置

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447570A (en) * 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5556476A (en) * 1994-02-23 1996-09-17 Applied Materials, Inc. Controlling edge deposition on semiconductor substrates
US5994678A (en) * 1997-02-12 1999-11-30 Applied Materials, Inc. Apparatus for ceramic pedestal and metal shaft assembly
US5985033A (en) * 1997-07-11 1999-11-16 Applied Materials, Inc. Apparatus and method for delivering a gas
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
JP2000228370A (ja) * 1999-02-05 2000-08-15 Dainippon Screen Mfg Co Ltd 基板熱処理装置
JP4265839B2 (ja) * 1999-06-24 2009-05-20 大日本スクリーン製造株式会社 熱処理装置
US6350320B1 (en) * 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
JP3715228B2 (ja) * 2001-10-29 2005-11-09 大日本スクリーン製造株式会社 熱処理装置
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP2007051317A (ja) * 2005-08-16 2007-03-01 Ngk Insulators Ltd 加熱装置
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
JP5179482B2 (ja) * 2007-05-09 2013-04-10 株式会社アルバック パージガスアセンブリ
US7879250B2 (en) * 2007-09-05 2011-02-01 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
US7832354B2 (en) * 2007-09-05 2010-11-16 Applied Materials, Inc. Cathode liner with wafer edge gas injection in a plasma reactor chamber
JP5362251B2 (ja) * 2008-04-16 2013-12-11 大日本スクリーン製造株式会社 熱処理装置
KR101533138B1 (ko) * 2008-09-08 2015-07-01 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치 및 기판 처리 방법
JP2011077143A (ja) * 2009-09-29 2011-04-14 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2012074540A (ja) * 2010-09-29 2012-04-12 Dainippon Screen Mfg Co Ltd 熱処理装置
KR101347495B1 (ko) * 2011-11-18 2014-01-06 에쓰디디(주) 유동 유체의 감압 및 감속장치
JP5955604B2 (ja) 2012-03-28 2016-07-20 株式会社Screenホールディングス 熱処理装置および熱処理方法
KR101445226B1 (ko) * 2013-04-23 2014-09-29 피에스케이 주식회사 배기 링 어셈블리 및 이를 포함하는 기판 처리 장치
CN107112275B (zh) * 2014-12-19 2020-10-30 应用材料公司 用于基板处理腔室的边缘环
JP6598630B2 (ja) * 2015-10-22 2019-10-30 株式会社Screenホールディングス 熱処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR200416139Y1 (ko) * 2006-01-18 2006-05-12 엔티엠 주식회사 기판 건조장치
JP2016526279A (ja) * 2013-04-30 2016-09-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分散されたガス流路を有する流量制御ライナー
JP2017045982A (ja) * 2015-08-26 2017-03-02 株式会社Screenホールディングス 熱処理方法および熱処理装置

Also Published As

Publication number Publication date
TWI719298B (zh) 2021-02-21
JP2018195686A (ja) 2018-12-06
TW201901808A (zh) 2019-01-01
KR20180126377A (ko) 2018-11-27
US20180337076A1 (en) 2018-11-22
US10903095B2 (en) 2021-01-26
JP6837911B2 (ja) 2021-03-03

Similar Documents

Publication Publication Date Title
KR102103069B1 (ko) 열처리 장치
KR102097200B1 (ko) 열처리 방법
KR102126119B1 (ko) 열처리 방법
KR102094591B1 (ko) 열처리 장치 및 방사 온도계의 측정 위치 조정 방법
KR102121105B1 (ko) 열처리 장치
JP2017017277A (ja) 熱処理装置および熱処理方法
JP2016225429A (ja) 熱処理装置
US11328941B2 (en) Light irradiation type heat treatment apparatus
KR102093007B1 (ko) 열처리 장치
JP2019021828A (ja) 熱処理装置
KR20200095578A (ko) 열처리 방법 및 열처리 장치
CN112820666A (zh) 热处理装置
KR102239422B1 (ko) 열처리 방법
US11183403B2 (en) Light irradiation type heat treatment apparatus
WO2019181048A1 (ja) 熱処理方法および熱処理装置
WO2018037630A1 (ja) 熱処理装置
KR102097203B1 (ko) 봉형 램프 및 열처리 장치
JP2018206838A (ja) 熱処理装置
JP2019036645A (ja) 熱処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant