JP2013225706A - ウェハを処理するためのデバイスおよび方法 - Google Patents

ウェハを処理するためのデバイスおよび方法 Download PDF

Info

Publication number
JP2013225706A
JP2013225706A JP2013153406A JP2013153406A JP2013225706A JP 2013225706 A JP2013225706 A JP 2013225706A JP 2013153406 A JP2013153406 A JP 2013153406A JP 2013153406 A JP2013153406 A JP 2013153406A JP 2013225706 A JP2013225706 A JP 2013225706A
Authority
JP
Japan
Prior art keywords
processing module
post
main
processing
lock door
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013153406A
Other languages
English (en)
Other versions
JP5635162B2 (ja
Inventor
Lindner Paul
パウル・リンドナー
Hangweier Peter-Oliver
ペーター−オリバー・ハングヴァイヤー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EV Group GmbH
Original Assignee
EV Group GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EV Group GmbH filed Critical EV Group GmbH
Publication of JP2013225706A publication Critical patent/JP2013225706A/ja
Application granted granted Critical
Publication of JP5635162B2 publication Critical patent/JP5635162B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Abstract

【課題】基板の処理を最適化し、また、汚染やダメージやファウリングや酸化を最大限に回避すること。
【解決手段】本発明は、複数の基板または基板対を処理するためのデバイス(1)に関するものであって、少なくとも1つの前処理モジュール(9)と、少なくとも1つの後処理モジュール(11)と、を具備し、前処理モジュールと後処理モジュールとが、主要処理モジュール(10)に対して連結され、主要処理モジュールが、隣接する前処理モジュールに対してのおよび/または隣接する後処理モジュールに対しての気密式ロックを形成している。
【選択図】図1a

Description

本発明は、請求項1に記載されているような、とりわけウェハやウェハ対といったような基板や基板対を処理するためのデバイスに関するものであり、また、請求項8に記載されているような、対応する方法に関するものである。
半導体技術における1つまたは複数のプロセスデバイスは、一般に、モジュール構造とされている。プロセスデバイスは、従来的には、複数のチャンバから構成されている。チャンバ内において、様々なプロセスステップが実行される。よって、例えば、ウェハの前処理においては、例えばウェットクリーニングやプラズマ処理やエッチングや加熱処理といったようなプロセスステップを、使用することができる。また、ウェハの主要処理においては、ボンディングやラッカーリングやインプリンティングやエンボシングや露出が可能である。公知のプロセスシステムにおいては、ウェハやウェハスタックは、プロセスシステムどうしの間にわたって、あるいは、プロセスシステムのモジュールどうしの間にわたって、カセットを使用して搬送される。
搬送時には、汚染やダメージやファウリング(fouling )や酸化が起こり得る。よって、他のプロセスステップへの影響が起こり得る。
本明細書には、先行技術文献が記載されていない。
一連をなす複数のウェハの処理どうしの間にわたっての主要処理チャンバの汚染が問題であり、また、ウェハの搬入および搬出時における汚染が問題である。
さらに、主要処理時における、大気圧から、10−6barあるいはそれ以下までへの、大きな圧力差に打ち勝たなければならないことが深刻である。
したがって、本発明の目的は、シーケンスに関して基板の処理を最適化することであり、また、汚染やダメージやファウリングや酸化を最大限に回避することである。
上記の目的は、請求項1,8に記載の特徴点によって得られる。本発明による有利なさらなる態様は、従属請求項に与えられている。明細書に記載された様々な特徴点と、請求項の様々な特徴点と、添付図面の様々な特徴点と、の任意の組合せは、本発明に属するものである。与えられた数値限定は、境界値であり、任意の組合せでもってクレームアップすることができる。
本発明は、主要処理モジュールに対して少なくとも1つの前処理モジュールと少なくとも1つの後処理モジュールとを組み合わせるに際し、少なくとも1つの前処理モジュールと、少なくとも1つの後処理モジュールと、主要処理モジュールとを、隣接するモジュールに対しての気密式ロックとして機能させるという着想に基づいている。これと同時に、本発明の一実施形態においては、少なくとも1つの前処理モジュール、および/または、主要処理モジュール、および/または、少なくとも1つの後処理モジュールは、圧力および真空度を調節することができ、および/または、温度を調節することができる。特に、隣接するモジュールとは独立的に、加熱することができる。
よって、本発明によるデバイスにおけるプロセスシステムのフレキシブル構造にかかわらず、複数の基板の時間的に最適な処理が、さらには複数の基板の並行的処理さえもが、本発明によるデバイス内において実施される。その場合、個々のプロセスモジュールのロック的連結に基づいて、特に個々のモジュールに対しての搬出入時といったような外部効果による基板どうしの剥がれによる、汚染やダメージやファウリングや酸化が、防止される。
主要処理モジュールが、ロックまたは位置決めシステムによって、排他的に搬出入できれば、特に有利である。これは、本発明においては、前処理モジュールを主要処理モジュールに対してロックの態様で連結することにより、および、後処理モジュールを主要処理モジュールに対してロックの態様で連結することにより、得られる。この手法により、多くの場合に極端な条件下で行われる基板またはウェハの特に重要な主要処理が、プロセスの任意のタイミングで起こることがなく、環境に対して接触した主要処理モジュールへの搬出入時に起こることもない。したがって、主要処理モジュールは、環境から完全に隔離されている。これにより、基板の処理時における汚染やダメージやファウリングや酸化が防止される。さらに、主要処理に先立つステップを前処理モジュール内に移行させることができ、主要処理に引き続くステップを後処理モジュール内に移行させることができる。特に、少なくとも部分的に、圧力および/または温度を調節することができる。その結果、主要処理モジュール内においては、大気圧すなわち雰囲気圧力pATM に対してのごく小さな圧力差に対して、および/または、ごく小さな温度差に対して、打ち勝つだけで良い。
本発明の1つの有利な実施形態においては、主要処理チャンバは、基板を搬入および搬出する際に、ロックとしてスイッチング可能なものとされる。よって、主要処理チャンバに対して搬入されたときには、同時的に、主要処理チャンバ内において予め処理した基板の後処理を、行うことができる。主要処理チャンバから搬出されたときには、同時的に、主要処理チャンバ内において次に処理することとなる次なる基板の前処理を、後処理チャンバ内において、行うことができる。
他の有利な実施形態においては、前処理チャンバおよび/または主要処理チャンバおよび/または後処理チャンバが、温度調節装置を使用して、互いに個別的に加熱し得るものとされている。この場合、前処理チャンバおよび/または主要処理チャンバおよび/または後処理チャンバが、完全に熱絶縁されていることが、特に有利である。よって、できる限り小さな熱損失でもって、正確な温度制御が可能である。
追加的な前処理モジュールを、ロックとして、前処理モジュールの上流側に連結することができる、および/または、追加的な後処理モジュールを、ロックとして、後処理モジュールの下流側に連結することができる。方法シーケンスは、プロセスシーケンスにおける経過時間の最適化が起こるように、さらに分割することができる。この場合、本発明においては、同時的に、複数の前処理モジュールを1つの前処理モジュールに対してロックドアを介して直接的に連結し得ることを、想定することができる。これにより、時間的に有利な複数の前処理ステップを、前処理モジュールの上流側において、並行的に行うことができる。この特徴点は、後処理モジュールに関しても同様に適用することができる。
ロックを、圧力ロックおよび/または温度ロックとして形成することにより、本発明においては、前処理モジュールおよび/または後処理モジュールの対応するスイッチングによって、圧力および/または温度を制御することができる。
搬出入に際しては、本発明においては、とりわけ少なくとも1つのロボットアームといったような搬出入装置が設けられる。搬出入装置は、主要処理チャンバおよび/または前処理チャンバおよび/または後処理チャンバの内外にわたって基板を搬出入するために使用される。プロセスシーケンスにおいて複数の基板/ウェハを並行的な取り扱い得るよう、本発明においては、複数のロボットアームを設けることができる。よって、例えば、各前処理チャンバ内においておよび/または各後処理チャンバ内において、厳密に1つのロボットアームを設けることができる。ロボットアームは、対応するロックドアが開放された際には、隣接するチャンバ間の搬出入のために、前処理チャンバと主要処理チャンバとを係合させることができる。
本発明の特に有利な実施形態においては、主要処理チャンバへの前処理チャンバからの搬入と、主要処理チャンバから後処理チャンバへの搬出と、を同時に行うことができ、前処理チャンバへの第1ロックドアを通しての搬入と、後処理チャンバからの第2ロックドアを通しての搬出と、を同時に行うことができる。
本発明の他の利点や特徴点や詳細は、添付図面を参照しつつ、例示としての好ましい実施形態に関する以下の説明を読むことにより、明らかとなるであろう。
本発明によるデバイスを概略的に示す平面図であって、前処理モジュールと、主要処理モジュールと、後処理モジュールと、を具備している。 図1aにおけるA−A線に沿って、本発明によるデバイスを概略的に示す断面図である。 本発明によるデバイスの第2実施形態を概略的に示す平面図であって、前処理モジュールと、主要処理モジュールと、後処理モジュールと、を具備している。 図2aにおけるA−A線に沿って、本発明によるデバイスを概略的に示す断面図である。 図2aにおけるB−B線に沿って、本発明によるデバイスを概略的に示す断面図である。 基板を処理するための本発明による方法における圧力および温度の経時変化を示すグラフである。 本発明によるデバイスを概略的に示す断面図であって、前処理モジュールと、主要処理モジュールと、後処理モジュールと、を具備している。
添付図面においては、対応する部材には、同じ符号が付されている。
図1に示す本発明によるデバイス1の実施形態においては、前処理モジュール9と、主要処理モジュール10と、後処理モジュール11と、が一列状で直線的に配置されている。
前処理モジュール9は、前処理チャンバ2を備えて構成されている。前処理チャンバ2は、真空引きし得るものであり、前処理スペース12によって囲まれている。図示されていない圧力調節装置が、前処理チャンバ2に対して接続されている。図示されていない中央制御装置は、圧力調節装置を制御することができる。これにより、前処理チャンバ2が閉塞された状態においては、前処理スペース12内の圧力を制御することができる。図示されていない温度調節装置により、前処理スペース12を、加熱したり冷却したりすることができる。温度調節装置は、中央制御装置によって制御される。
1つ(または複数)のウェハ15を前処理モジュール9内へと搬入するために、図4に示すように、第1ロボットアーム16が設けられている。第1ロボットアーム16は、中央制御装置によって制御され、第1ロックドア5が開放されているときには、第1ロックドア5を通して搬入を行うことができる。第1ロックドア5の開閉操作も、また、中央制御装置によって制御される。
図1aおよび図1bの実施形態における第1ロックドア5は、デバイス1の第1面サイド18上に配置されている。第1ロックドア5は、気密的に閉塞することができ、熱絶縁を有している。これにより、第1ロックドア5が閉塞された状態においては、前処理スペース12を加圧することができる。
さらに、前処理チャンバ2は、第1ロックドア5とは反対側においては、主要処理モジュール10の主要処理チャンバ3に対して連結されており、第1主要ロックドア6を備えている。第1主要ロックドア6は、機能的に、第1ロックドア5と同様に構成されている。主要処理モジュール10は、第1主要ロックドア6のところにおいて、前処理モジュール9に対して気密的に連結することができる。その結果、デバイス1の各モジュール9,10,11のモジュール構造および交換を実施することができる。
中央制御装置によって第1ロックドア5および第1主要ロックドア6を制御することにより、第1主要ロックドア6が開放されている際に第1ロックドア5を閉塞することによって、あるいは、第1主要ロックドア6が閉塞されている際に第1ロックドア5を開放することによって、前処理モジュール9を、ロックとして使用することができる。
主要処理モジュール10は、主要処理チャンバ3を備えて構成されている。主要処理チャンバ3は、主要処理スペース13によって囲まれているあるいは主要処理スペース13を形成している。
主要処理チャンバ3の第1主要ロックドア6とは反対側においては、第2主要ロックドア7が設けられている。第2主要ロックドア7は、主要処理チャンバ3内において少なくとも部分的に設けられている。第2主要ロックドア7を使用することにより、ウェハ15を、主要処理チャンバ3から搬出して、後処理チャンバ4内へと搬入することができる。第2主要ロックドア7は、後処理チャンバ4によって少なくとも部分的に形成されている。主要処理チャンバ13は、第2主要ロックドア7によって、後処理チャンバ4の後処理スペース14に対して、気密的にシールすることができる。機能的に、第2主要ロックドア7は、第1主要ロックドア6に対応している。第1主要ロックドア6と第2主要ロックドア7とは、中央制御装置によって制御され、ロックを形成する。
主要処理モジュール10内における主要処理の後にウェハ15を後処理するための後処理モジュール11は、後処理チャンバ4を備えて構成されている。後処理チャンバ4は、後処理スペース14を形成している。さらに、後処理チャンバ4は、第2ロックドア8を備えている。第2ロックドア8は、第2主要ロックドア7とは反対側に配置されている。
ウェハ15は、後処理の後には、第2ロックドア8を開放してすぐに、第2ロボットアーム17を使用して後処理スペース14から第2ロックドア8を通して搬出することができる。
後処理モジュール11は、同様に、第2ロックドア8が開放されている際に第2主要ロックドア7を閉塞することによって、あるいは、第2ロックドア8が閉塞されている際に第2主要ロックドア7を開放することによって、ロックとして機能することができる。
第2ロックドア8は、デバイス1の第2面サイド19上に配置されている。これにより、前処理モジュール9と主要処理モジュール10と後処理モジュール11とを通してのプロセスシーケンス全体にわたって、ウェハ15の直線的移動を引き起こすことができる。
図2aの実施形態は、フロアモジュール9,10,11の配置角度において相違しており、これにより、変形例をなすデバイス1’が構成されている。主要処理チャンバ3’の構成だけが変更されている。すなわち、第2主要ロックドア7は、第1主要ロックドア6の反対側に配置されているのではなく、主要処理チャンバ3’の側壁上に配置されている。
本発明の他の実施形態においては、図1aおよび図1bの実施形態において、図2aにおける後処理モジュール11と同様の追加的なサイドモジュール(図示せず)を、主要処理モジュール10の側部上に配置することができる。これにより、プロセスシーケンスにおけるプロセスフロー時に検出された損傷ウェハを取り除くことができる。
圧力および温度の振る舞いが図3に示されているような、本発明のプロセスシーケンスについて、以下説明する。
第1主要ロックドア6および第2主要ロックドア7が閉塞される。その後、主要処理スペース13内の圧力が、圧力調節装置によって低減される。圧力調節装置は、図示されておらず、例えば、真空ポンプとされる。圧力は、10−6bar以下という圧力pminにまで低減され、好ましくは、10−9bar以下という圧力pmin にまで低減される。これは、理想的には、とりわけ100枚以上の好ましくは1000枚以上のより好ましくは10000枚以上のウェハまたはウェハ対の処理時に一括的に行われる。
開放された第1ロックドア5を通して、ウェハ15またはウェハ対が、第1ロボットアーム16を使用して前処理チャンバ内へと搬入され、前処理チャンバ内において処理される。前処理は、ドライプロセスステップおよび/またはウェットプロセスステップとすることができ、例えば、ウェットクリーニングやプラズマ処理やエッチングや加熱等とすることができる。前処理は、好ましくは、光学的なおよび/または機械的な位置合わせ装置を備えている。
前処理前にあるいは前処理後にあるいは前処理中に、前処理スペース12を、個別的に動作する圧力調節装置を使用して真空引きすることができる。
第1主要ロックドア6を開放する前に、第1ロックドア5が閉塞され、前処理チャンバ3への搬入時にあるいは搬入直前に、前処理スペース12が、10−6bar以下といったような好ましくは10−7bar以下といったような圧力p にまで真空引きされる。これにより、前処理モジュール9は、主要処理モジュール10のためのロックとして機能する。
ウェハ15は、例えばロボットアームといったような内部輸送システムによって、第1主要ロックドア6を通して、主要処理チャンバ3,3’内へと搬入される。
その後、第1主要ロックドア6が閉塞される。主要処理チャンバ3,3’内への搬入時には、第2主要ロックドア7は、閉塞状態に維持される。圧力は、好ましくは、10−6bar以下といったようなより好ましくは10−7bar以下といったようなpmin へと下げられる。
第1主要ロックドア6の閉塞後には、他のウェハ15を、前処理チャンバ2内へと搬入することができる。これと同時並行的に、第1ウェハ15の主要処理を、主要処理モジュール10内において行うことができる。主要処理は、例えば、接着やラッカーリング(lacquering)やインプリンティング(imprinting)やエンボシング(embossing)や露出とすることができる。
主要処理モジュール10内におけるウェハ15の主要処理後には、ウェハ15は、第2ロックドア8を閉塞した状態で第2主要ロックドア7を開放することによって、後処理モジュール11内へと搬入される。第2主要ロックドア7の開放の前には、後処理スペース14が、後処理モジュール11の圧力調節装置によって、少なくともウェハ15が主要処理モジュール10から後処理モジュール11へと搬出されるまでは、10−6bar以下といったような好ましくは10−7bar以下といったような圧力p へと真空引きされる。その後、第2主要ロックドア7が、再閉塞される。
その後、後処理モジュール11内において、ウェハ15の後処理が行われる。例えば、冷却や、後処理モジュール11の圧力調節装置による同時的圧力上昇、が行われる。
後処理モジュール11内におけるウェハ15の後処理の完了後には、ウェハ15は、第2ロックドア8を通して第2ロボットアーム17を使用して搬出される。
第1主要ロックドア6の開放前には、前処理チャンバ2が、チャンバ2,3,4の外部の大気圧力すなわち雰囲気圧力pATM よりも小さな圧力p へと下げられ、および/または、不活性ガスが充填されて汚染されない。第2主要ロックドア7の開放前には、後処理チャンバ4が、チャンバ2,3,4の外部の大気圧力すなわち雰囲気圧力pATM よりも小さな圧力p へと下げられ、および/または、不活性ガスが充填されて汚染されない。
本発明の特に有利な実施形態においては、ウェハ15は、ウェハ対とされ、ウェハ対は、ウェハ対を取り扱うための保持デバイスすなわちハンドリング装置を使用して、上述したようにしてデバイス1内へと搬入される。図3の左側に図示された前処理は、加熱ステップ、真空引きステップ、還元性ガスによるガス充填ステップ、ウェット化学処理ステップ、プラズマ処理ステップ、および/または、アルゴン打込ステップ、を備えている。
主要処理は、真空引きの状況下で行われる。その場合の真空度合いは、前処理の場合よりも大きな真空度合いとされる。したがって、図3の中央に図示されたような低い圧力pmin とされる。主要処理モジュール10の圧力調節装置によって、制御されたガス雰囲気が設定される、すなわち、厳密に設定されたガス圧力と厳密に制御された混合ガス比率とが設定される。その後、ウェハ対が、接触されて接着される。予め、接着のために必要な温度、すなわちTmax が、250℃以上に設定される。好ましくは、Tmax は、400℃以上とされる。接着時には、できる限り一様な力が、ウェハ対に対して印加される、および/または、電圧が印加される。
図3の右側に図示された後処理は、非酸化性雰囲気において行われ、その場合、冷却が行われ、圧力が雰囲気圧力にまで上げられる。徐々の冷却は、既に接着されたウェハ対における熱応力の発生を防止して回避する。
ウェハ対の後処理は、本発明の一実施形態に基づいて行うことができる。すなわち、第1前処理モジュールにおいて、第1ウェハまたは第1ウェハ対の前処理が行われ、第2前処理モジュールにおいて、第2ウェハまたは第2ウェハ対の前処理が行われる。第1ウェハおよび第2ウェハは、それぞれ個別のロックドアを通して主要処理チャンバ内へと搬入することができる。
チャンバ2,3,4は、好ましくは、ステンレススチールまたはアルミニウムから形成される。
図4の実施形態においては、第1ロックドア5は、前処理チャンバ2’の面サイド18上に配置されておらず、側壁上に配置されている。第2ロックドア8は、後処理チャンバ4’の面サイド19上に配置されておらず、側壁上に配置されている。
プロセスフローは、図4において矢印によって図示されている。
主要ロックドア6,7は、pmin までの強い気密性を有したものでなければならず、好ましくは、移送バルブとして形成される。移送バルブは、互いに隣接したチャンバ2,3,4の間の共通チャネル内に挿入することができ、シールを形成する。ロックドア5,6,7,8の開放直径は、200mm以上とされ、とりわけ300mm以上とされ、好ましくは450mm以上とされる。
1 デバイス
1’ デバイス
2 前処理チャンバ
2’ 前処理チャンバ
3 主要処理チャンバ
3’ 主要処理チャンバ
4 後処理チャンバ
4’ 後処理チャンバ
5 第1ロックドア
6 第1主要ロックドア
7 第2主要ロックドア
8 第2ロックドア
9 前処理モジュール
10 主要処理モジュール
11 後処理モジュール
12 前処理スペース
13 主要処理スペース
14 後処理スペース
15 ウェハ
16 第1ロボットアーム
17 第2ロボットアーム
18 第1面サイド
19 第2面サイド

Claims (8)

  1. 複数の基板または基板対を処理するためのデバイスであって、
    少なくとも1つの前処理モジュールと、少なくとも1つの後処理モジュールと、を具備し、
    前記前処理モジュールと前記後処理モジュールとが、主要処理モジュールに対して連結され、
    前記主要処理モジュールが、隣接する前記前処理モジュールに対してのおよび/または隣接する前記後処理モジュールに対しての気密式ロックを形成していることを特徴とするデバイス。
  2. 請求項1記載のデバイスにおいて、
    前記主要処理モジュールの主要処理チャンバが、前記デバイス内へと前記基板を搬入する際におよび/または前記デバイスから前記基板を搬出する際に、ロックとしてスイッチング可能なものとされていることを特徴とするデバイス。
  3. 請求項1記載のデバイスにおいて、
    前記前処理モジュールの前処理チャンバ、および/または、前記主要処理モジュールの主要処理チャンバ、および/または、前記後処理モジュールの後処理チャンバが、温度調節装置を使用して、加熱可能または冷却可能とされていることを特徴とするデバイス。
  4. 請求項1記載のデバイスにおいて、
    追加的な前処理モジュールが、ロックとして、第1前処理モジュールの上流側に連結されている、および/または、追加的な後処理モジュールが、ロックとして、第1後処理モジュールの下流側に連結されている、ことを特徴とするデバイス。
  5. 請求項1記載のデバイスにおいて、
    前記ロックが、圧力に関してのおよび/または温度に関してのロックとして形成されていることを特徴とするデバイス。
  6. 請求項1記載のデバイスにおいて、
    前記前処理モジュールおよび/または前記後処理モジュールの内外にわたって基板を搬出入するための搬出入装置が設けられていることを特徴とするデバイス。
  7. 請求項3記載のデバイスにおいて、
    前記前処理チャンバおよび/または前記主要処理チャンバおよび/または前記後処理チャンバを、個別的に加熱または冷却することができることを特徴とするデバイス。
  8. 請求項6記載のデバイスにおいて、
    前記搬出入装置が、少なくとも1つのロボットアームとされていることを特徴とするデバイス。
JP2013153406A 2010-10-15 2013-07-24 ウェハを処理するためのデバイスおよび方法 Active JP5635162B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102010048043.6 2010-10-15
DE102010048043A DE102010048043A1 (de) 2010-10-15 2010-10-15 Vorrichtung und Verfahren zur Prozessierung von Wafern

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013533151A Division JP2013542602A (ja) 2010-10-15 2011-10-05 ウェハを処理するためのデバイスおよび方法

Publications (2)

Publication Number Publication Date
JP2013225706A true JP2013225706A (ja) 2013-10-31
JP5635162B2 JP5635162B2 (ja) 2014-12-03

Family

ID=44741334

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013533151A Pending JP2013542602A (ja) 2010-10-15 2011-10-05 ウェハを処理するためのデバイスおよび方法
JP2013153406A Active JP5635162B2 (ja) 2010-10-15 2013-07-24 ウェハを処理するためのデバイスおよび方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013533151A Pending JP2013542602A (ja) 2010-10-15 2011-10-05 ウェハを処理するためのデバイスおよび方法

Country Status (8)

Country Link
US (2) US9751698B2 (ja)
EP (2) EP2609619B1 (ja)
JP (2) JP2013542602A (ja)
KR (3) KR20130122628A (ja)
CN (3) CN103531438A (ja)
DE (1) DE102010048043A1 (ja)
SG (1) SG189240A1 (ja)
WO (1) WO2012049058A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112501574A (zh) * 2020-10-27 2021-03-16 东兴华鸿光学科技有限公司 太阳镜片镀膜设备

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5941589B1 (ja) * 2015-09-14 2016-06-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
CN108842143A (zh) * 2018-07-09 2018-11-20 上海新昇半导体科技有限公司 外延炉冷却系统及冷却方法
CN109378287A (zh) * 2018-11-15 2019-02-22 中芯长电半导体(江阴)有限公司 半导体封装装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04349929A (ja) * 1991-05-28 1992-12-04 Tokyo Electron Ltd 真空装置
JPH0669140A (ja) * 1992-08-20 1994-03-11 Hitachi Ltd Cvd装置
JP2008010670A (ja) * 2006-06-29 2008-01-17 Nikon Corp ウェハ接合装置用のロードロック装置、及びウェハ接合システム

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5730341A (en) * 1980-07-30 1982-02-18 Anelva Corp Substrate processing device
US4752180A (en) 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
US5044871A (en) * 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4764076A (en) * 1986-04-17 1988-08-16 Varian Associates, Inc. Valve incorporating wafer handling arm
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JP2648638B2 (ja) 1990-11-30 1997-09-03 三菱マテリアル株式会社 ウェーハの接着方法およびその装置
US5314541A (en) * 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH05251408A (ja) * 1992-03-06 1993-09-28 Ebara Corp 半導体ウェーハのエッチング装置
JP3200282B2 (ja) * 1993-07-21 2001-08-20 キヤノン株式会社 処理システム及びこれを用いたデバイス製造方法
US5795399A (en) 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
JP3250722B2 (ja) 1995-12-12 2002-01-28 キヤノン株式会社 Soi基板の製造方法および製造装置
JPH09289241A (ja) * 1996-04-22 1997-11-04 Shinkawa Ltd ウェーハ搬送装置
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
KR0183912B1 (ko) * 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
US6178361B1 (en) * 1998-11-20 2001-01-23 Karl Suss America, Inc. Automatic modular wafer substrate handling device
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP2001267237A (ja) * 2000-03-23 2001-09-28 Canon Inc 露光装置および露光方法
JP4377035B2 (ja) * 2000-06-08 2009-12-02 唯知 須賀 実装方法および装置
JP4618859B2 (ja) 2000-10-10 2011-01-26 東レエンジニアリング株式会社 積層ウエハーのアライメント方法
JP4690572B2 (ja) * 2000-11-30 2011-06-01 キヤノンアネルバ株式会社 基板重ね合わせ装置
US20030003767A1 (en) * 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
JP3693972B2 (ja) * 2002-03-19 2005-09-14 富士通株式会社 貼合せ基板製造装置及び基板貼合せ方法
KR100675627B1 (ko) * 2002-10-10 2007-02-01 엘지.필립스 엘시디 주식회사 기판 수납용 카세트
JP2004157452A (ja) * 2002-11-08 2004-06-03 Seiko Epson Corp 電気光学装置及びその製造装置
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
JP2005158926A (ja) * 2003-11-25 2005-06-16 Canon Inc ロードロック装置および方法
EP2221865B1 (en) * 2004-01-07 2019-05-22 Nikon Corporation Stacking apparatus and method for stacking a plurality of wafers
DE102004032659B4 (de) * 2004-07-01 2008-10-30 Atotech Deutschland Gmbh Vorrichtung und Verfahren zum chemischen oder elektrolytischen Behandeln von Behandlungsgut sowie die Verwendung der Vorrichtung
JP4107316B2 (ja) 2005-09-02 2008-06-25 株式会社日立プラントテクノロジー 基板貼合装置
TW200817758A (en) 2006-06-13 2008-04-16 Shibaura Mechatronics Corp Sticking and holding apparatus and sticking and holding method thereof
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
TWI533394B (zh) 2007-06-21 2016-05-11 尼康股份有限公司 Conveying method and conveying device
JP4959457B2 (ja) * 2007-07-26 2012-06-20 東京エレクトロン株式会社 基板搬送モジュール及び基板処理システム
JP4473343B2 (ja) 2007-11-09 2010-06-02 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置
US20100014945A1 (en) 2008-07-16 2010-01-21 Asm Japan K.K. Semiconductor processing apparatus having all-round type wafer handling chamber
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
CA2735202C (en) 2008-11-21 2014-07-29 Mitsubishi Heavy Industries, Ltd. Wafer bonding apparatus
US8580612B2 (en) * 2009-02-12 2013-11-12 Infineon Technologies Ag Chip assembly
JP5540533B2 (ja) * 2009-03-12 2014-07-02 株式会社ニコン 半導体装置を製造する製造装置、基板接合方法及び半導体装置を製造する製造方法
KR20120027237A (ko) 2009-04-16 2012-03-21 수스 마이크로텍 리소그라피 게엠바하 웨이퍼 가접합 및 분리를 위한 개선된 장치
US9312159B2 (en) * 2009-06-09 2016-04-12 Nikon Corporation Transport apparatus and exposure apparatus
FR2961630B1 (fr) 2010-06-22 2013-03-29 Soitec Silicon On Insulator Technologies Appareil de fabrication de dispositifs semi-conducteurs
JP5323867B2 (ja) 2011-01-19 2013-10-23 東京エレクトロン株式会社 基板反転装置、基板反転方法、剥離システム、プログラム及びコンピュータ記憶媒体
JP6122297B2 (ja) * 2011-01-31 2017-04-26 須賀 唯知 接合基板作成方法、基板接合方法、及び接合基板作成装置
KR20130079031A (ko) 2012-01-02 2013-07-10 삼성전자주식회사 반도체 칩 실장 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04349929A (ja) * 1991-05-28 1992-12-04 Tokyo Electron Ltd 真空装置
JPH0669140A (ja) * 1992-08-20 1994-03-11 Hitachi Ltd Cvd装置
JP2008010670A (ja) * 2006-06-29 2008-01-17 Nikon Corp ウェハ接合装置用のロードロック装置、及びウェハ接合システム

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112501574A (zh) * 2020-10-27 2021-03-16 东兴华鸿光学科技有限公司 太阳镜片镀膜设备

Also Published As

Publication number Publication date
KR20130122628A (ko) 2013-11-07
JP2013542602A (ja) 2013-11-21
EP2645410A1 (de) 2013-10-02
CN103168350B (zh) 2018-02-13
JP5635162B2 (ja) 2014-12-03
US20130240113A1 (en) 2013-09-19
CN103531438A (zh) 2014-01-22
WO2012049058A1 (de) 2012-04-19
KR20130114218A (ko) 2013-10-16
SG189240A1 (en) 2013-05-31
CN107978544A (zh) 2018-05-01
US9771223B2 (en) 2017-09-26
CN103168350A (zh) 2013-06-19
KR20180085071A (ko) 2018-07-25
KR101993106B1 (ko) 2019-06-25
DE102010048043A1 (de) 2012-04-19
EP2609619A1 (de) 2013-07-03
EP2645410B1 (de) 2021-03-03
EP2609619B1 (de) 2020-05-27
US9751698B2 (en) 2017-09-05
US20130309046A1 (en) 2013-11-21

Similar Documents

Publication Publication Date Title
US8246284B2 (en) Stacked load-lock apparatus and method for high throughput solar cell manufacturing
JP4916140B2 (ja) 真空処理システム
US20190096702A1 (en) Substrate processing apparatus, substrate processing method, and computer storage medium
EP1970940A2 (en) Substrate processing apparatus, substrate processing method and storage medium
JP5635162B2 (ja) ウェハを処理するためのデバイスおよび方法
JP2011049507A (ja) ロードロック装置及び処理システム
KR20170038141A (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체
US10403532B2 (en) Semiconductor apparatus with inner wafer carrier buffer and method
JP4634918B2 (ja) 真空処理装置
US9748124B2 (en) Vacuum processing apparatus and operating method thereof
JP2015076458A (ja) 真空処理装置
US20100189532A1 (en) Inline-type wafer conveyance device
JP2013115393A (ja) 真空処理装置及び真空処理装置の運転方法
JP2008251991A (ja) ロードロック装置および昇圧方法
KR20170090967A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP2005259858A (ja) 基板処理装置
TW201729328A (zh) 裝載鎖定裝置中的基板冷卻方法、基板搬運方法及裝載鎖定裝置
KR100439036B1 (ko) 반도체 제조설비
JP2012129232A (ja) 基板処理装置及び半導体装置の製造方法
JP2004080053A (ja) 半導体製造装置
KR102241600B1 (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
JP4722416B2 (ja) 半導体製造装置及び基板搬送方法並びに半導体装置の製造方法
KR20150027733A (ko) 기판 처리용 클러스터 설비
US20220139759A1 (en) Substrate holder, substrate transfer device, and method of manufacturing substrate holder
US20180158714A1 (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130724

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20140210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140225

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140407

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140704

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140709

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140806

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140811

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140825

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140916

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141015

R150 Certificate of patent or registration of utility model

Ref document number: 5635162

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250