CN103531438A - 用于处理衬底或衬底对的装置 - Google Patents

用于处理衬底或衬底对的装置 Download PDF

Info

Publication number
CN103531438A
CN103531438A CN201310387123.0A CN201310387123A CN103531438A CN 103531438 A CN103531438 A CN 103531438A CN 201310387123 A CN201310387123 A CN 201310387123A CN 103531438 A CN103531438 A CN 103531438A
Authority
CN
China
Prior art keywords
cell
main processing
post
module
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310387123.0A
Other languages
English (en)
Inventor
P.林德纳
P-O.杭维尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
EV Group GmbH
Original Assignee
EV Group GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by EV Group GmbH filed Critical EV Group GmbH
Publication of CN103531438A publication Critical patent/CN103531438A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G47/00Article or material-handling devices associated with conveyors; Methods employing such devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用于处理衬底或衬底对的装置。本发明涉及用于处理衬底、尤其晶片(15)的一种装置,该装置具有至少一个预处理模块(9)、至少一个后处理模块(11)以及至少一个主处理模块(10),其中该预处理模块(9)和该后处理模块(11)作为该主处理模块(10)的闸是可开关的。

Description

用于处理衬底或衬底对的装置
技术领域
本发明涉及一种根据权利要求1所述的用于处理衬底或衬底对、尤其是作为晶片对的晶片的装置。
背景技术
半导体技术的处理设备或装置大多是模块化构造的。所述处理设备或装置通常由不同的小室组成,在这些小室中执行不同的处理步骤。因此例如为了对晶片进行预处理考虑晶片处理步骤——如湿式清洗、等离子处理、蚀刻或加热,而对于晶片的主处理则考虑接合、涂漆、打印、压印和曝光。在已知的处理设备中,晶片或晶片堆叠随着箱盒在处理设备或处理设备的模块之间被运输。
在运输期间可能导致污染、损坏、变脏或氧化,并从而可能导致对其他处理步骤的影响。
在对相继晶片的处理之间、也即在加载和卸载晶片时主处理小室的污染也是成问题的。
另外,在主处理时必须克服从大气压力直至非常低的10-6巴或更小压力的大的压力差,这在时间方面也是关键的。
发明内容
本发明的任务因此是,衬底的处理不仅从流程上被优化,而且也尽可能地避免污染、损坏、弄脏或氧化。
该任务利用权利要求1的特征得到解决。本发明的有利的改进在从属权利要求中说明。在说明书、权利要求书和/或附图中所说明的至少两个特征的所有组合同样也落在本发明的范围中。在所说明的值范围中,位于所述界限内的值也应该作为界限值来公开,并以任意的组合来要求权利。
本发明所基于的想法是,把主处理模块与至少一个预处理模块和至少一个后处理模块如此耦合,使得至少一个预处理模块和至少一个后处理模块和/或该主处理模块分别作为真空密封的闸(Schleuse)而用于相邻的预处理、主处理或后处理模块。根据本发明,在本发明的一个实施方式中同时规定,至少一个预处理模块和/或该主处理模块和/或至少一个后处理模块可以独立地或与相邻模块无关地被施加压力、尤其是真空,和/或被加温、尤其是加热。
通过这种方式,尽管根据本发明的处理设备具有灵活的构造,在本发明的装置内也设置了对多个衬底的时间优化的、甚至并行的处理,其中由于各个处理模块的闸式耦合,通过衬底与外部影响的去耦合解决了尤其是在对各个模块进行加载和卸载时的污染、损坏、弄脏或氧化。
特别有利的是,该主处理模块仅能通过闸被加载和卸载。这根据本发明通过如下方式来解决,即不仅设置与主处理模块闸式耦合的预处理模块,而且设置与主处理模块闸式耦合的后处理模块。通过这种措施,在处理的时间点以及在对主处理模块的加载和卸载期间,衬底或晶片的大多特别关键的并通常在极端条件下进行的主处理都不与环境进行接触。该主处理模块由此完全与环境去耦合,使得实际上排除了在衬底的主处理期间的污染、损坏、弄脏或氧化。另外还存在的优点是,可以在预处理模块和后处理模块中扩展预备和巩固主处理的步骤,尤其至少部分的压力施加和/或温度施加。因此在主处理模块中仅应克服比环境/大气压力pATM更小的压力差和/或温度差。
根据本发明的一个有利的实施方式规定,主处理小室在对衬底加载和卸载时可以作为闸来开关。从而在对该主处理小室加载时,同时能够对之前在主处理小室中处理的衬底进行后处理。相反,在对该主处理小室卸载时,同时能够在预处理小室中对在主处理小室中要处理的下一衬底进行预处理。
在另一有利的实施方式中规定,预处理小室和/或主处理小室和/或后处理小室尤其可以单独地借助加热装置来加热。在此特别有利的是,预处理小室和/或主处理小室和/或后处理小室是完全绝热的。这样在最小可能的热损失的情况下可以进行精确的温度控制。
只要在预处理模块之前可以作为闸而连接其他的相应构造的预处理模块和/或只要在后处理模块之后可以作为闸而连接其他的相应构造的后处理模块,那么该方法流程就可以进一步被细分,使得得出对处理流程中的通过时间的优化。在此根据本发明可以考虑多个预处理模块通过闸门同时与一个预处理模块直接耦合。这样就可以把时间密集的预处理步骤并行地并相应时间偏移地在前接的预处理模块中运行。这类似地适用于相应的后处理模块。
通过把闸构造为压力和/或温度闸,根据本发明可以通过预处理模块和/或后处理模块的相应电路来控制压力和/或温度。
为了加载和卸载,根据本发明设置加载和卸载装置,尤其是至少一个机械臂。所述机械臂被用于把衬底加载到主处理小室和/或预处理小室和/或后处理小室中,或把衬底从主处理小室和/或预处理小室和/或后处理小室中卸载,其中为了并行处理多个位于处理流程中的衬底/晶片,根据本发明可以设置多个机械臂。从而比如可以在每个预处理小室和/或每个后处理小室中准确地设置一个机械臂,所述机械臂可以在相应的闸门打开时介入到分别相邻的预处理小室或主处理小室中以对分别相邻的小室进行加载和卸载。
根据本发明的一个特别有利的实施方式规定,主处理小室可以同时从预处理小室加载以及卸载到后处理小室中,和/或预处理小室和后处理小室可以同时通过第一闸门被加载以及通过第二闸门被卸载。
附图说明
本发明的其他优点、特征和细节从对优选实施例的以下描述中以及根据附图得出;所述附图在:
图1a中示出本发明装置的示意俯视图,该装置具有预处理模块、主处理模块和后处理模块,
图1b中示出本发明装置根据图1a中的剖线A-A的示意剖视图,
图2a示出本发明装置的第二实施方式的示意俯视图,所述装置具有预处理模块、主处理模块和后处理模块,
图2b示出本发明装置的根据图2a中的剖线A-A的示意剖视图,
图2c示出本发明装置的根据图2a中的剖线B-B的示意剖视图,
图3示出用于处理衬底的本发明方法的压力/温度图,以及
图4示出本发明装置的剖视图,所述装置具有预处理模块、主处理模块和后处理模块。
在图中针对相对应的构件设置相同的附图标记。
具体实施方式
在图1所示的本发明装置1的实施方式中,线性地成一行地设置预处理模块9、主处理模块10和后处理模块11。
该预处理模块9由可施加真空的预处理小室2组成,该预处理小室包围预处理空间12。在该预处理小室2上连接有未示出的压力施加装置,该压力施加装置可以由未示出的中央控制装置来控制,以能够在关闭的预处理小室2的情况下控制该预处理空间12中的压力。通过未示出的温度施加装置可以对该预处理空间12加热和/或冷却,其中该温度施加装置可以由中央控制装置来控制。
为了给该预处理模块9加载一个(或多个)晶片15,按照图4设置第一机械臂16。如果第一闸门5打开,那么该机械臂——由中央控制装置控制地——可以穿过第一闸门5。该第一闸门5的打开和关闭同样通过中央控制装置来控制。
该第一闸门5在按照图1a和1b的实施方式中设置在装置1的第一端侧18上。该第一闸门5可以压力密封地关闭,并具有绝热装置,从而在第一闸门5的关闭状态下该预处理空间12可以被设置在压力下。
另外该预处理小室2与第一闸门5相对地与主处理模块10的主处理小室3共同具有第一主闸门6。该第一主闸门6与第一闸门5功能类似地来构造。该主处理模块10可以在第一主闸门6处与预处理模块9压力密封地相耦合,由此可以实现一种模块化构造以及该装置1的各个模块9、10、11的更换。
通过借助中央控制装置控制第一闸门5和第一主闸门6,预处理模块9可以用作闸门,也即其方式是,在第一闸门6打开时关闭第一闸门5,并且反之亦然。
主处理模块10由主处理小室3组成,该主处理小室包围或构成主处理空间13。
与该主处理小室3的第一主闸门6相对地设置至少部分地属于该主处理小室3的第二主闸门7,该第二主闸门用于把晶片15从主处理小室3卸载到后处理小室4中。该第二主闸门7至少部分地由该后处理小室4来构成。主处理空间13可以通过第二主闸门7与该后处理小室4的后处理空间14压力密封。在功能上该第二主闸门7对应于第一主闸门6,其中第一主闸门6和第二主闸门7由中央控制装置控制地构成闸。
用于在主处理模块10中主处理之后对晶片15进行后处理的后处理模块11由后处理小室4组成,该后处理小室构成了后处理空间14。另外,该后处理小室4还具有第二闸门8,该第二闸门与第二主闸门7相对地设置。
通过该第二闸门8并借助第二机械臂17,一旦该第二闸门8打开,那么晶片15就可以在后处理之后从该后处理空间14中卸载。
该后处理模块11同样可以用作闸,其方式是,如果第二闸门8打开,那么第二主闸门7就关闭,并且反之亦然。
该第二闸门8设置在装置1的第二端侧19上,使得晶片15在穿过预处理模块9、主处理模块10和后处理模块11的整个处理流程期间进行线形移动。
根据图2a的实施方式的不同之处在于模块9、10、11的成角度的设置,使得得出了变化的装置1’。变化的仅仅是主处理小室3’的构造,因为第二主闸门7没有设置为与第一主闸门6相对,而是设置在该主处理小室3’的侧壁上。
在本发明的另一实施方式中,在根据图1a和1b的实施方式中可以与图2a中的后处理模块11类似地在主处理模块10的侧面设置附加的侧模块(未示出),以从处理流程中去除在处理流程期间被识别的被损坏的晶片。
根据本发明的方法流程按照如下来进行,其中该方法流程的压力和温度曲线在图3中示出:
第一主闸门6和第二主闸门7被关闭。之后在主处理空间13中的压力通过未示出的压力施加装置、比如真空泵而被降低,尤其降低到小于10-6巴的压力pmin,优选降低到小于10-9巴的压力。这理想地在处理多个、尤其多于100个、优选多于1000个、更优选地多于10000个晶片或晶片对期间仅进行唯一一次。
通过打开的第一闸门5,晶片15或晶片对借助第一机械臂16被加载到预处理小室中并在那里被处理。该预处理可以是干燥和/或加湿处理步骤,比如湿式清洗、等离子处理、蚀刻、加热或类似的。该预处理特别优选地包括尤其是光学和/或机械的定向装置。
在该预处理步骤之前或之后或期间,预处理空间12可以通过单独可控的压力施加装置被抽真空。
在第一主闸门6打开之前,第一闸门5无论如何都被关闭,并且预处理空间12被抽真空,尤其在主处理小室3加载时或在加载之前短时内被抽真空到小于10-6巴、优选小于10-7巴的压力pv,使得该预处理模块9用作主处理模块10的闸。
通过内部运输系统,比如机械臂,晶片15穿过第一主闸门6被加载到主处理小室3、3’中。
接着第一主闸门6被关闭。在主处理小室3、3’加载期间第二主闸门7是持续关闭的。该压力优选地被进一步降低到小于10-6巴、更优选10-9巴的pmin
在第一主闸门6闭合之后,另一晶片15已经可以被加载到预处理小室2中。同时在主处理模块10中进行第一晶片15的主处理。在此所述主处理比如可以是接合、涂漆、打印、压印或曝光。
在主处理模块10中对晶片15进行主处理之后,晶片15穿过第二主闸门7的开口被加载到后处理模块11中,其中第二闸门8关闭。在第二主闸门7打开之前,后处理空间14通过后处理模块11的压力施加装置被施加真空,尤其是小于10-6、优选小于10-7的压力,而且至少直至该晶片15从主处理模块10加载到后处理模块11中并且第二主闸门7再次关闭。
接着在后处理模块11中进行晶片15的后处理,比如冷却和通过后处理模块11的压力施加装置同时提高压力。
在后处理模块11中对晶片15的后处理结束之后,该晶片15通过第二闸门8和第二机械臂17被取出。
在每次打开主闸门6之前给预处理小室2以及在每次打开主闸门7之前给后处理小室4施加小于小室2,3,4之外的大气压力或环境压力pATM的压力pV或pN,和/或对预处理小室2以及后处理小室4进行净化,尤其是利用惰性气体来冲洗。
根据前述发明的一个特别有利的实施方式,晶片15是晶片对,或晶片对借助支架或用于处理晶片对的处理装置以上述方式被加载到装置1中,其中在图3所示的预处理的左边部分包括加热、抽真空、用还原气体的气体冲洗、湿化学处理、等离子处理和/或氩喷射的步骤。
在与预处理相比更高的真空中,也即更低的压力pmin下,来进行主处理,如在图3的中间部分所示的那样。在此通过主处理模块10的压力施加装置来调节受控的气体氛围、也即精确调节的气压以及精确控制的气体混合比。然后晶片对被接触并接合。在此之前调节连接所需的温度,尤其是大于250℃的Tmax,优选大于400℃的Tmax。在接合时在晶片对上施加尽可能相同的力,和/或施加电压。
在图3的右边部分所示的后处理在非氧化环境中来进行,其中进行冷却并把压力提升为大气压力。缓慢的冷却防止或避免了在之前接合的晶片对中的热应力。
根据一个实施方式,可以如此来进行晶片对的预处理,使得第一预处理模块被设置用于第一晶片或晶片对的预处理,以及第二预处理模块被设置用于第二晶片或晶片对的预处理。该第一和第二晶片可以通过单独的闸门被加载到主处理小室中。
小室2、3、4优选由不锈钢或铝来制造。
在图4所示的实施方式中,第一闸门5不是设置在预处理小室2’的端侧18上,而是设置在侧壁上。第二闸门8不是设置在端侧19上,而是设置在后处理小室4’的侧壁上。
该处理流程在图4中通过箭头来示出。
主闸门6、7必须具有相应高的、直至pmin的密封性,并优选作为传输阀门来构造,该传输阀门在分别相邻的小室2、3、4之间的共同的通道中密封地采用或可采用。闸门5、6、7、8的开孔直径为大于200mm、尤其大于300mm,优选大于450mm。
附图标记列表
1,1’               装置
2,2’               预处理小室
3,3’               主处理小室
4,4’               后处理小室
5                   第一闸门
6                   第一主闸门
7                   第二主闸门
8                   第二闸门
9                   预处理模块
10                 主处理模块
11                 后处理模块
12                 预处理空间
13                 主处理空间
14                 后处理空间
15                 晶片
16                 第一机械臂
17                 第二机械臂
18                 第一端侧
19                  第二端侧。

Claims (8)

1.用于处理衬底或衬底对的装置,具有至少一个预处理模块和至少一个后处理模块,所述预处理模块和所述后处理模块耦合到主处理模块,使得主处理模块定义用于相邻的预处理模块和/或相邻的后处理模块的真空密封的闸。
2.根据权利要求1所述的装置,其中主处理模块的主处理小室能够在衬底到所述装置的加载和卸载时作为闸来开关。
3. 根据权利要求1所述的装置,其中所述预处理模块的预处理小室和/或所述主处理模块的主处理小室和/或所述后处理模块的后处理小室能够通过温度施加装置被加热或冷却。
4. 根据权利要求1所述的装置,其中在第一预处理模块的上游连接附加的预处理模块作为闸和/或在第一后处理模块的下游连接附加的后处理模块作为闸。
5. 根据权利要求1所述的装置,其中所述闸作为压力和/或温度闸来构成。
6. 根据权利要求1所述的装置,其中提供加载和卸载装置以用于把衬底加载到预处理模块和/或后处理模块以及把衬底从预处理模块和/或后处理模块卸载。
7. 根据权利要求3所述的装置,其中预处理小室和/或主处理小室和/或后处理小室能够被单独地加热或冷却。
8. 根据权利要求6所述的装置,其中所述加载和卸载装置是至少一个机械臂。
CN201310387123.0A 2010-10-15 2011-10-05 用于处理衬底或衬底对的装置 Pending CN103531438A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102010048043.6 2010-10-15
DE102010048043A DE102010048043A1 (de) 2010-10-15 2010-10-15 Vorrichtung und Verfahren zur Prozessierung von Wafern

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201180049666.0A Division CN103168350B (zh) 2010-10-15 2011-10-05 用于处理晶片的装置和方法

Publications (1)

Publication Number Publication Date
CN103531438A true CN103531438A (zh) 2014-01-22

Family

ID=44741334

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201310387123.0A Pending CN103531438A (zh) 2010-10-15 2011-10-05 用于处理衬底或衬底对的装置
CN201180049666.0A Active CN103168350B (zh) 2010-10-15 2011-10-05 用于处理晶片的装置和方法
CN201711338294.9A Pending CN107978544A (zh) 2010-10-15 2011-10-05 用于处理晶片的装置和方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN201180049666.0A Active CN103168350B (zh) 2010-10-15 2011-10-05 用于处理晶片的装置和方法
CN201711338294.9A Pending CN107978544A (zh) 2010-10-15 2011-10-05 用于处理晶片的装置和方法

Country Status (8)

Country Link
US (2) US9751698B2 (zh)
EP (2) EP2609619B1 (zh)
JP (2) JP2013542602A (zh)
KR (3) KR20130122628A (zh)
CN (3) CN103531438A (zh)
DE (1) DE102010048043A1 (zh)
SG (1) SG189240A1 (zh)
WO (1) WO2012049058A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106531663A (zh) * 2015-09-14 2017-03-22 株式会社日立国际电气 衬底处理装置以及半导体装置的制造方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108842143A (zh) * 2018-07-09 2018-11-20 上海新昇半导体科技有限公司 外延炉冷却系统及冷却方法
CN109378287A (zh) * 2018-11-15 2019-02-22 中芯长电半导体(江阴)有限公司 半导体封装装置
CN112501574B (zh) * 2020-10-27 2022-10-25 东兴华鸿光学科技有限公司 太阳镜片镀膜设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5455082A (en) * 1991-05-28 1995-10-03 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US20030003767A1 (en) * 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
CN101965241A (zh) * 2008-11-21 2011-02-02 三菱重工业株式会社 晶片接合装置

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5730341A (en) * 1980-07-30 1982-02-18 Anelva Corp Substrate processing device
US4752180A (en) 1985-02-14 1988-06-21 Kabushiki Kaisha Toshiba Method and apparatus for handling semiconductor wafers
US5044871A (en) * 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4764076A (en) * 1986-04-17 1988-08-16 Varian Associates, Inc. Valve incorporating wafer handling arm
JPS63157870A (ja) * 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
JP2648638B2 (ja) 1990-11-30 1997-09-03 三菱マテリアル株式会社 ウェーハの接着方法およびその装置
JPH04349929A (ja) 1991-05-28 1992-12-04 Tokyo Electron Ltd 真空装置
JPH05251408A (ja) * 1992-03-06 1993-09-28 Ebara Corp 半導体ウェーハのエッチング装置
JPH0669140A (ja) 1992-08-20 1994-03-11 Hitachi Ltd Cvd装置
JP3200282B2 (ja) * 1993-07-21 2001-08-20 キヤノン株式会社 処理システム及びこれを用いたデバイス製造方法
US5795399A (en) 1994-06-30 1998-08-18 Kabushiki Kaisha Toshiba Semiconductor device manufacturing apparatus, method for removing reaction product, and method of suppressing deposition of reaction product
JP3250722B2 (ja) 1995-12-12 2002-01-28 キヤノン株式会社 Soi基板の製造方法および製造装置
JPH09289241A (ja) * 1996-04-22 1997-11-04 Shinkawa Ltd ウェーハ搬送装置
US5900105A (en) * 1996-07-09 1999-05-04 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
KR0183912B1 (ko) * 1996-08-08 1999-05-01 김광호 다중 반응 챔버에 연결된 펌핑 설비 및 이를 사용하는 방법
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6235634B1 (en) * 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JP3286240B2 (ja) 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
US6178361B1 (en) * 1998-11-20 2001-01-23 Karl Suss America, Inc. Automatic modular wafer substrate handling device
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP2001267237A (ja) * 2000-03-23 2001-09-28 Canon Inc 露光装置および露光方法
JP4377035B2 (ja) * 2000-06-08 2009-12-02 唯知 須賀 実装方法および装置
JP4618859B2 (ja) 2000-10-10 2011-01-26 東レエンジニアリング株式会社 積層ウエハーのアライメント方法
JP4690572B2 (ja) * 2000-11-30 2011-06-01 キヤノンアネルバ株式会社 基板重ね合わせ装置
JP3693972B2 (ja) * 2002-03-19 2005-09-14 富士通株式会社 貼合せ基板製造装置及び基板貼合せ方法
KR100675627B1 (ko) * 2002-10-10 2007-02-01 엘지.필립스 엘시디 주식회사 기판 수납용 카세트
JP2004157452A (ja) * 2002-11-08 2004-06-03 Seiko Epson Corp 電気光学装置及びその製造装置
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
JP2005158926A (ja) * 2003-11-25 2005-06-16 Canon Inc ロードロック装置および方法
EP2221865B1 (en) * 2004-01-07 2019-05-22 Nikon Corporation Stacking apparatus and method for stacking a plurality of wafers
DE102004032659B4 (de) * 2004-07-01 2008-10-30 Atotech Deutschland Gmbh Vorrichtung und Verfahren zum chemischen oder elektrolytischen Behandeln von Behandlungsgut sowie die Verwendung der Vorrichtung
JP4107316B2 (ja) 2005-09-02 2008-06-25 株式会社日立プラントテクノロジー 基板貼合装置
TW200817758A (en) 2006-06-13 2008-04-16 Shibaura Mechatronics Corp Sticking and holding apparatus and sticking and holding method thereof
JP4635972B2 (ja) 2006-06-29 2011-02-23 株式会社ニコン ロードロック装置、それを使用した方法及びウエハ接合システム
KR101522324B1 (ko) * 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
TWI533394B (zh) 2007-06-21 2016-05-11 尼康股份有限公司 Conveying method and conveying device
JP4959457B2 (ja) * 2007-07-26 2012-06-20 東京エレクトロン株式会社 基板搬送モジュール及び基板処理システム
JP4473343B2 (ja) 2007-11-09 2010-06-02 キヤノンアネルバ株式会社 インライン型ウェハ搬送装置
US20100014945A1 (en) 2008-07-16 2010-01-21 Asm Japan K.K. Semiconductor processing apparatus having all-round type wafer handling chamber
US7972961B2 (en) * 2008-10-09 2011-07-05 Asm Japan K.K. Purge step-controlled sequence of processing semiconductor wafers
US8580612B2 (en) * 2009-02-12 2013-11-12 Infineon Technologies Ag Chip assembly
JP5540533B2 (ja) * 2009-03-12 2014-07-02 株式会社ニコン 半導体装置を製造する製造装置、基板接合方法及び半導体装置を製造する製造方法
KR20120027237A (ko) 2009-04-16 2012-03-21 수스 마이크로텍 리소그라피 게엠바하 웨이퍼 가접합 및 분리를 위한 개선된 장치
US9312159B2 (en) * 2009-06-09 2016-04-12 Nikon Corporation Transport apparatus and exposure apparatus
FR2961630B1 (fr) 2010-06-22 2013-03-29 Soitec Silicon On Insulator Technologies Appareil de fabrication de dispositifs semi-conducteurs
JP5323867B2 (ja) 2011-01-19 2013-10-23 東京エレクトロン株式会社 基板反転装置、基板反転方法、剥離システム、プログラム及びコンピュータ記憶媒体
JP6122297B2 (ja) * 2011-01-31 2017-04-26 須賀 唯知 接合基板作成方法、基板接合方法、及び接合基板作成装置
KR20130079031A (ko) 2012-01-02 2013-07-10 삼성전자주식회사 반도체 칩 실장 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5455082A (en) * 1991-05-28 1995-10-03 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US20030003767A1 (en) * 2001-06-29 2003-01-02 Plasmion Corporation High throughput hybrid deposition system and method using the same
CN101965241A (zh) * 2008-11-21 2011-02-02 三菱重工业株式会社 晶片接合装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106531663A (zh) * 2015-09-14 2017-03-22 株式会社日立国际电气 衬底处理装置以及半导体装置的制造方法

Also Published As

Publication number Publication date
KR20130122628A (ko) 2013-11-07
JP2013542602A (ja) 2013-11-21
EP2645410A1 (de) 2013-10-02
CN103168350B (zh) 2018-02-13
JP5635162B2 (ja) 2014-12-03
US20130240113A1 (en) 2013-09-19
WO2012049058A1 (de) 2012-04-19
KR20130114218A (ko) 2013-10-16
SG189240A1 (en) 2013-05-31
CN107978544A (zh) 2018-05-01
US9771223B2 (en) 2017-09-26
CN103168350A (zh) 2013-06-19
KR20180085071A (ko) 2018-07-25
KR101993106B1 (ko) 2019-06-25
DE102010048043A1 (de) 2012-04-19
EP2609619A1 (de) 2013-07-03
JP2013225706A (ja) 2013-10-31
EP2645410B1 (de) 2021-03-03
EP2609619B1 (de) 2020-05-27
US9751698B2 (en) 2017-09-05
US20130309046A1 (en) 2013-11-21

Similar Documents

Publication Publication Date Title
KR101464030B1 (ko) 인터페이스 장치
KR101663918B1 (ko) 감소된 챔버 공간을 형성하는 공정 박스 및 다층체의 위치 결정 방법
CN103531438A (zh) 用于处理衬底或衬底对的装置
US20170114456A1 (en) Apparatus and method for treating a substrate
KR20120123096A (ko) 다층체의 가공을 위한 장치, 시스템 및 방법
US20100189532A1 (en) Inline-type wafer conveyance device
US6990747B2 (en) Vacuum processing apparatus and substrate transfer method
KR101944202B1 (ko) 기판 반송 방법 및 처리 시스템
CN104106131A (zh) 用于处理被涂层的衬底的处理盒、装置和方法
US20110217467A1 (en) Vacuum processing apparatus and vacuum processing method
US11730045B2 (en) Sintering apparatus, packaging system for organic light emitting diode device and sintering method
KR101700607B1 (ko) 기판처리장치
KR20130126941A (ko) 복수-챔버 광발전 모듈 프로세싱을 위한 시스템들 및 방법들
US20160281236A1 (en) Substrate processing using interleaved load lock transfers
KR102266925B1 (ko) 결함 검사 장치, 결함 검사 방법 및 기판 처리 시스템
KR20110016642A (ko) 기판처리장치
KR102241600B1 (ko) 로드락 챔버 및 이를 구비하는 기판 처리 시스템
KR20080071681A (ko) 반도체소자 제조를 위한 멀티챔버 시스템
KR20070042415A (ko) 다단 반송장치 및 그것을 사용한 기판 처리 설비
KR100861782B1 (ko) 로드락 챔버 및 그 챔버에서의 벤트 방법
JP2005136021A (ja) 基板処理装置
KR20170052147A (ko) 기판처리시스템 및 기판처리방법
KR20090093536A (ko) 기판처리장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20140122