JP2013175730A - 改良されたチャンバ洗浄方法及び装置 - Google Patents

改良されたチャンバ洗浄方法及び装置 Download PDF

Info

Publication number
JP2013175730A
JP2013175730A JP2013041131A JP2013041131A JP2013175730A JP 2013175730 A JP2013175730 A JP 2013175730A JP 2013041131 A JP2013041131 A JP 2013041131A JP 2013041131 A JP2013041131 A JP 2013041131A JP 2013175730 A JP2013175730 A JP 2013175730A
Authority
JP
Japan
Prior art keywords
chamber
reactive species
cleaning
gas
mirror
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013041131A
Other languages
English (en)
Inventor
Sheng Sun
スン シェン
Quanyuan Shang
シャン チャンヤン
William R Harshbarger
アール. ハーシュバーガー ウイリアム
Robert I Green
アイ. グリーン ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013175730A publication Critical patent/JP2013175730A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)

Abstract

【課題】化学気相成長等で薄膜トランジスタ及び他の半導体デバイスを製造するチャンバの洗浄速度を上げる装置を提供する。
【解決手段】本装置は、堆積した物質をチャンバ内から化学的にクリーニングする反応性ガス化学種を生成するリモートプラズマチャンバ50、及び反応性化学種に晒される表面が鏡面研磨面をもつアルミニウムの処理チャンバを含んでいる。チャンバ洗浄効率を上げるために、鏡面研磨面64はガス分配プレート12又はバッキングプレート13などの表面、及び/又は反応性化学種に晒されるチャンバ壁ライナ29、ガスコンダクタンスライン48等の表面である。反応性化学種が接触するアルミニウム表面すべてが鏡面研磨される。
【選択図】図1

Description

本発明は、チャンバ洗浄速度を上げるために改良された方法及び装置に関する。更に詳細には、本発明は、蓄積した物質を処理チャンバ構成要素からエッチングする反応性化学種のエッチング速度を効果的に上げる方法及び装置に関する。
液晶ディスプレイ、フラットパネルディスプレイ、薄膜トランジスタ及び他の半導体デバイスの製造は、複数のチャンバ内で行なわれ、それぞれが基板上で特定のプロセスを行なうように設計されている。これらのプロセスの多くは、その結果、チャンバ表面に物質(例えば、化学気相成長、物理気相成長、熱蒸着等によって基板上に層状に堆積している物質、基板表面からエッチングされた物質等)が蓄積する。そのような蓄積物質は、チャンバ表面から崩れ落ち、チャンバ内部で処理される感受性のあるデバイスに混入しうる。従って、蓄積物質をプロセスチャンバ内からしばしば洗浄しなければならない(例えば、1〜6枚の基板毎に)。
チャンバ表面の洗浄には、インサイチュ乾式洗浄プロセスが好ましい。インサイチュ乾式洗浄プロセスにおいては、1種以上のガスが処理チャンバ内で解離して、1種以上の反応性ガス化学種(例えば、フッ素イオン、ラジカル)になる。反応性化学種は、チャンバ表面上に蓄積した物質と揮発性化合物を形成することによってチャンバ表面を洗浄する。そのようなインサイチュ洗浄プロセスは、粒子数及び、チャンバを開放する必要のある更に多くの中断洗浄プロセスに必要となるシステムダウンの時間の両方を減少させる。
リモートプラズマソースクリーニング(RPSC)は、インサイチュ・プラズマクリーニングを更に改良したものである。RPSCにおいては、洗浄ガスが、別のチャンバ内で解離し、その後、チャンバ表面から物質を洗浄/エッチングする処理チャンバ内へと解離した反応性化学種が下流に流れる。RPSCは、洗浄ガスを完全に解離するため、経済的にも環境的にも大変に節約が可能である。更に、RPSCによって、インサイチュ・プラズマクリーニングプロセスに付随した不利なイオン衝撃をなくすことによってチャンバ消耗品が減る。
国際公開第00/003064号 特開平11−281307号公報 国際公開第96/015287号 特開平10−256244号公報
残念ながら、下記のように、インサイチュ・クリーニングプロセスもリモートプラズマソースクリーニングプロセスも、慣用的にはかなりの時間を要しかつ非常に洗浄ガスを消費するものである。従って、好ましくないことに、処理チャンバ内で処理される基板当たりのコストが増加してしまう。その上、リモートプラズマソースクリーニング(RPSC)において、同じ洗浄プロセスによって洗浄された処理チャンバ間で洗浄速度の差の大きなばらつきがしばしば見られる。従って、チャンバ表面から蓄積物質をエッチングするための改良された方法及び装置が必要である。
本発明者らは、洗浄ガスの反応性化学種に曝露されるチャンバ表面が鏡面研磨される場合にチャンバ洗浄速度が上がることを発見した。チャンバ表面は、好ましくは未処理であり、最も好ましくは未処理アルミニウムである。本明細書に用いられる未処理チャンバ表面は、洗浄を高めるために予め処理されていないものである(例えば、陽極酸化又は1999年5月29日出願の米国特許出願第09/322,893号に開示されているようなコーティングを施すことにより)。そのような処理チャンバ表面は、既に洗浄速度が良好である。鏡面研磨は、一部の表面の粗さを少なくし、よって表面積を減少させるプロセスである。本発明者
らは、鏡面研磨によって2つの目標、(i)一部の表面積を減少させて洗浄ラジカル不活性化プロセスが起こる部位の全体数を減らすこと;(ii)洗浄ラジカルと結合してその数を減少させることができる表面不純物を除去することが達成されると考える。従って、鏡面研磨によって洗浄ラジカルが保存され、RPSCを効果的にすると思われる。
本発明は、チャンバ内で基板を処理するとともに蓄積した物質をチャンバ構成要素から洗浄する装置を含んでいる。該装置は、処理チャンバ及び蓄積した物質をチャンバ構成要素から化学的にエッチングする反応性ガス化学種を生成するように適合した反応性化学種発生器、及び洗浄プロセス中に反応性化学種に曝露される少なくとも1つの鏡面研磨面又は構成要素を含んでいる。好ましくは、チャンバ洗浄効率に対する効果を最大にするために、少なくとも1つの鏡面研磨は、ガス分配プレート又はバッキングプレートのような大きな構成要素、及び/又は反応性化学種に曝露される表面積の割合の大きい複数の小さな構成要素(例えば、チャンバのシャドーフレーム、壁ライナ、サセプタ、ガスコンダクタンスライン等)である。
反応性化学種に曝露される鏡面研磨面によって、洗浄速度の向上が認められるだけでなく、処理チャンバ間の洗浄速度の差のばらつきも著しく減少しうるし、プロセスチャンバスループットも著しく増大しうるし、洗浄に必要とされる前駆ガスの量も減少しうる。NF3のような前駆ガスに付随して高コストになることから、経済的にも環境的(例えば、地球温暖化)にも前駆ガスの消費の減少は有益である。更に、鏡面研磨面は、異種物質を処理装置に導入せず、従来のたいていの表面処理が経験する密着性の問題も存在しない。
本発明の他の目的、特徴及び利点は、下記の好適実施例の詳細な説明、前述の特許請求の範囲及び添付図面から十分に明らかになるであろう。
本発明に従って配置された処理装置の側面図である。
図1は、本発明に従って配置された処理装置10の側面図である。米国特許第5,788,778号に記載され本明細書に全体で援用されているアプライドカマツテクノロジー(Applied Kamatsu Technology)製のAKT-1600型PECVD装置、米国特許第5,812,403号に記載され本明細書に全体で援用されているアプライドマテリアルズ社製のGIGAFILL(登録商標)、熱堆積チャンバ等の適切な処理装置は、本明細書に記載されるように改良することができる。便宜上本発明に従って配置されたAKT-1600 PECVD処理装置10を図1に示す。AKT-1600 PECVD処理装置10は、アクティブマトリックス液晶ディスプレイを製造するために設計され、当該技術において既知である無定形シリコン、二酸化シリコン、オキシ窒化シリコン又は窒化シリコンを堆積するために使用することができる。
図1について説明する。処理装置10は、処理ガスや洗浄ガスを堆積チャンバ11へ送るように適合したアパーチャ12a−u及びバッキングプレートを含むガス分配プレート12を有する堆積チャンバ11、及び堆積チャンバ11内で処理される基板16を支持するサセプタ14を含んでいる。サセプタ14は、基板16の温度を処理温度に上昇させるとともに処理中その処理温度に基板16を維持するヒータ制御部20に結合した発熱体18(例えば、抵抗ヒータ)を含んでいる。リフトメカニズム22は、下記のように、基板16をサセプタ14から持ち上げることができるようにサセプタ14に結合している。特に、複数のリフトピン26(リフトピンホルダ28によって固定して支持されている)がサセプタ14を貫通し(複数のリフトピンアパーチャ30によって)、サセプタ14がリフトメカニズム22によって下げられる場合に基板16と接触し、基板16をサセプタ14
から持ち上げる。堆積チャンバ11は、更に、物質がチャンバ壁上に蓄積しないように遮断しかつ除去及び洗浄され得るチャンバ壁ライナ29、及び基板エッジ部をオーバハングし、よって物質が基板のエッジ部上に堆積又は蓄積しないようにするシャドーフレーム31を含んでいる。
上記機能のほかに、ガス分配プレート12とサセプタ14は、それぞれ、堆積チャンバ11内でプラズマを生成する平行なプレートの上下電極としての働きをする。例えば、サセプタ14は、接地することができ、ガス分配プレート12は、整合ネットワーク34を介してRF発電機32に結合することができる。よって、整合ネットワーク34を介してRF発電機によって供給されるRF電力を印加することによってガス分配プレート12とサセプタ14の間にRFプラズマを生成することができる。真空ポンプ36は、必要とされるように処理前、処理中又は処理後に排気する/ポンピングする堆積チャンバ11に結合している。
処理装置10は、更に、バッキングプレート13とガス分配プレート12によって処理ガスを供給する堆積チャンバ11の注入口40に結合した第1ガス供給装置38を含んでいる。第1ガス供給装置38は、堆積チャンバ11の注入口40に結合したバルブコントローラシステム42(例えば、コンピュータ制御マスフローコントローラ、フローメータ等)、及びバルブコントローラシステム42に結合した複数のプロセスガス源44a、4
4bを含んでいる。バルブコントローラシステム42は、処理ガスの堆積チャンバ11への流量を調節する。用いられる個々の処理ガスは、堆積チャンバ11内に堆積する物質に依存する。
第1ガス供給装置38のほかに、処理装置10は、堆積チャンバ11の洗浄中に(例えば、蓄積物質をチャンバ11のいろいろな内面から除去するために)洗浄ガスを供給する堆積チャンバ11(ガスコンダクタンスライン48を介して)の注入口に結合した第2ガス供給装置46を含んでいる。第2ガス供給装置46は、ガスコンダクタンスライン48に結合したリモートプラズマチャンバ50及びリモートプラズマチャンバ50にバルブコントローラシステム56とバルブコントローラシステム58を介して結合したそれぞれ前駆ガス源52と少量キャリヤガス源54を含んでいる。典型的な前駆洗浄ガスとしては、当該技術において周知であるNF3、CF4、SF6、C26、CCl4、C2Cl6等が含まれる。少量キャリヤガスが使われる場合には、用いられる洗浄ガスと適合する非反応性ガスを含んでもよい(例えば、アルゴン、ヘリウム、水素、窒素、酸素等)。前駆キャリヤガス源と少量キャリヤガス源52、54は、所望される場合には、前駆キャリヤガスと少量キャリヤガスの適切な混合物を含有する単一ガス源を含んでもよい。
大電力源発電機60(例えば、マイクロ波又はRF発電機)は、洗浄ガスが活性な洗浄化学種/ラジカルに解離するリモートプラズマチャンバ50内でプラズマを点火及び維持するために(下記のように)リモートプラズマチャンバ50に電力を供給する。リモートプラズマチャンバ50と堆積チャンバ11間に圧力差を維持することができるようにガスコンダクタンスライン48に沿ってフロー・レストリクタ62が配置されることが好ましい。
堆積チャンバ11の洗浄中、前駆ガスが前駆ガス源からリモートプラズマチャンバ50に送られる。前駆ガスの流量は、バルブコントローラシステム56によって設定される。大電力発電機60は、リモートプラズマチャンバ50に電力を送り、前駆ガスを活性化して、ガスコンダクタンスライン48によって堆積チャンバ11に進む1種以上の反応性化学種(例えば、フッ素ラジカル)を形成する。このように、リモートプラズマチャンバ50は、堆積チャンバ11に結合し反応性化学種を送る『反応性化学種発生器』としての働きをする。サセプタ14とガス分配プレート12も、印加したRF電力が前駆ガスを解離することができるので堆積チャンバ11に結合した反応性化学種発生器としての働きをすることに留意されたい。
リモートプラズマチャンバ50によって生成した1種以上の反応性化学種は、注入口40、バッキングプレート13、ガス分配プレート12を通って堆積チャンバ11へ進む。少量キャリヤガスは、1種以上の反応性化学種をチャンバ11に輸送することを援助するために及び/又はチャンバ洗浄又はRFプラズマがチャンバ洗浄中に使われる場合には堆積チャンバ内のプラズマ開始/安定化を援助するために、少量キャリヤガス源54からリモートプラズマチャンバ50に供給することができる。
NF3前駆洗浄ガスが使われるときの堆積チャンバ11の具体的な洗浄プロセスパラメーターとしては、毎分約2リットルの前駆ガス流量及び約0.5トルの堆積チャンバ圧が挙げられる。3〜12kW、好ましくは5kWのマイクロ波電力は、NF3前駆ガスを活性化するために大電力マイクロ波発電機によってリモートプラズマチャンバ50に供給される。好ましくは、リモートプラズマチャンバ50は、少なくとも4.5トル、好ましくは約6トルの圧力に保たれる。他の洗浄プロセスパラメーター範囲/化学的性質は、前に援用
されている米国特許第5,788,778号に記載されている。
前述のように、従来の洗浄プロセスに共通する問題には、低洗浄速度及びプロセスチャンバ間の洗浄速度の差の大きなばらつきが含まれている。本発明者らは、洗浄速度及びチャンバ間の洗浄速度の差のばらつきがチャンバ内面の状態に依存し、かつリモートプラズマソース(例えば、リモートプラズマチャンバ50)とチャンバ(例えば、堆積チャンバ11)(『下流表面』)間の内面が洗浄速度に影響することを発見した。特に、表面制御不活性化プロセスによって、洗浄中に使われる反応性化学種(例えば、Fラジカルのようなエッチング活性化学種)を結合させてチャンバ洗浄を援助しない不活性化学種(例えば、Fラジカルの場合にはF2)が形成すると考えられる。この表面制御不活性化プロセスは、はだかのアルミニウム表面も陽極酸化アルミニウム表面も含む未処理物質表面で起こると思われる。
本発明者らは、1つ以上の未処理下流構成要素を鏡面研磨することにより、高速の洗浄速度が達成され、チャンバ間の洗浄速度の差のばらつきが著しく減少することがわかった。洗浄性能に著しく影響すると思われる鏡面研磨構成要素としては、チャンバガス分配プレート及びバッキングプレートが含まれる。チャンバ洗浄速度の改善に影響させるために、ある割合のチャンバ構成要素を鏡面仕上げしなければならない。この割合は変動してもよいが、高速洗浄速度を達成するのに高い割合が好ましく、未処理曝露面の100%鏡面研磨が最も好ましい。処理チャンバ内でRFプラズマをリモートプラズマソースと共に用いることにより、即ち、電極12に電力を供給してリモートプラズマソースから入るラジカルのガスを形成することにより、又は二次的に洗浄ガスをプラズマに導入することにより、洗浄速度の増加(例えば、15%まで)が達成し得ることに留意されたい。しかしながら、RF電力の印加は、イオン衝撃による処理チャンバ構成要素への損傷を避けるために制限されなければならない。
図1の処理装置11について説明する。洗浄速度の増加及び堆積チャンバ11と他の堆積チャンバ(図示せず)間の洗浄速度の差のばらつきの減少に影響するように、処理装置11の1つ以上の下流構成要素の曝露処理面又は未処理面が鏡面研磨される(『鏡面研磨面64』)。鏡面研磨は、当業者に既知のプロセスであり、光学レンズや半導体基板を研磨するのに一般に用いられている。通常、鏡面研磨は、研磨される表面と接触し、かつ相対運動するパッドに研摩剤スラリーを被覆することを必要とする。
図1に示されるように、堆積チャンバ11、ガス分配プレート12、バッキングプレート13、サセプタ14、注入口40、ガスコンダクタンスライン48、チャンバ壁ライナ29及びシャドーフレーム31の内面は鏡面研磨面64である。所望される場合には、鏡面研磨される構成要素がもっと少なくてもよい。しかしながら、はだかのアルミニウム面が洗浄効率を高めるコーティングで巧く処理することができないことから(薄片化/剥離)、鏡面研磨面64の最も有利な被覆は、はだかのアルミニウム面上であると思われる。陽極酸化アルミニウム(例えば、慣用的にサセプタやシャドーフレームは陽極酸化アルミニウムである)の鏡面研磨は、陽極酸化層を除去し、よって堆積処理を妨害する又はアークを引き起こすなどの可能性があるために勧められないものである。
図1のPECVD堆積チャンバ11について説明する。鏡面研磨表面64は、処理ドリフトも堆積チャンバ11内に堆積したPECVD膜の性質の変化も生じることなく、洗浄速度を著しく高め、チャンバ間の洗浄速度の差のばらつきを著しく減少させる。鏡面研磨面64は、洗浄ラジカルが曝露される全表面積を減少させ、よって表面制御不活性化プロセスが起こると思われる(例えば、高くかつ均一なFラジカル濃度を維持すること)表面吸着部位の数を減少させる。
約10,000オングストロームの窒化シリコン膜を洗浄する場合、15.6%の洗浄速度の改善が、まず8マイクロインチまで機械加工し、次に2マイクロインチまで鏡面研磨した陽極酸化ディフューザ及びバッキングプレートを用い、洗浄したAKT PECVD 3500チャンバで見出された。約10,000オングストローム窒化シリコン膜を洗浄する場合、テフロン(登録商標)被覆した陽極酸化ディフューザをまず8マイクロインチまで機械加工し、次に2マイクロインチまで鏡面研磨したバッキングプレートを用い、洗浄したAKT PECVD 3500チャンバで6.8%の洗浄速度の向上が見出された。テフロンのようなフルオロポリマーコーティングは、米国特許出願第09/322,893号(3622/AKT)に開示され、その開
示内容は本明細書に援用されている。従って、本発明の使用によりプロセスチャンバスループットが高められ、洗浄に必要とされる前駆ガスの量が減少する。
NF3のような前駆ガスに付随して高コストになることから、経済的(例えば、NF3は現在$100/lbの費用がかかる)にも環境的(例えば、NF3は『地球温暖化』ガスである)にも前駆ガス消費の減少は非常に有益である。更に、鏡面研磨面は、チャンバ表面の腐食を防止するために又は蓄積した物質が崩れ落ちないように被覆された従来の多くの表面コーティング(例えば、AlF3)と異なり、安価であり、製造しやすい。更に、本発明は、処理チャンバ間の洗浄速度の差のばらつきを減少すると考えられる。
上記説明は、本発明の好適実施例のみを開示しており、本発明の範囲内に包含する上記装置及び方法の変更は当業者に容易に明らかになる。例えば、本発明はPECVDチャンバについて記載してきたが、本発明が熱堆積チャンバを含むさまざまなプロセスチャンバに適用されることは理解される。更に、反応性化学種(例えば、プロセスチャンバ内でRFプラズマによって生成される反応性化学種、又はリモートプラズマソース生成反応性化学種等)を用いる洗浄プロセスは、本明細書に記載される鏡面研磨面を用いることにより改善することができる。更に、鏡面研磨が下流面上に使われた場合に洗浄を高めると思われるが、2マイクロインチの鏡面研磨が洗浄を著しく高めることがわかったので好ましい
従って、本発明を好適実施例と共に開示してきたが、他の実施例も前述の特許請求の範囲によって定義される本発明の精神及び範囲内に包含することができることは理解されるべきである。
符合の説明
10…処理装置、11…堆積チャンバ、12…ガス分配プレート、12a−u…アパーチャ、13…バッキングプレート、14…サセプタ、16…基板、18…発熱体、20…ヒータ制御部、22…リフトメカニズム、28…リフトピンホルダ、29…チャンバ壁ライナ、30…リフトピンアパーチャ、31…シャドーフレーム、32…RF発電機、34…整合ネットワーク、36…真空ポンプ、38…第1ガス供給装置、40…注入口、42…バルブコントローラシステム、44a、44b…プロセスガス源、46…第2ガス供給装置、48…ガスコンダクタンスライン、50…リモートプラズマチャンバ、52…前駆ガス源、54…少量キャリヤガス源、56…バルブコントローラシステム、60…大電力発電機、62…フローレストリクタ、64…鏡面研磨面。

Claims (9)

  1. ガスフローとしてガスを処理チャンバへ分配するように適合したガス分配プレートであって、貫通している複数のアパーチャと;陽極酸化アルミニウムではなく未処理アルミニウムの表面を鏡面研磨面と、を有するベースを含む、ガス分配プレート。
  2. 前記請求項1に記載されたガス分配プレートと、
    前記ガス分配プレートの背面側に位置し、ガスが該チャンバに入ったときにガスに曝露される鏡面研磨内面を有するベースを含む、処理チャンバに導入されたガスを分配する装置。
  3. 前記ベースの鏡面研磨面は、陽極酸化アルミニウムではなく未処理アルミニウムに形成されている前記請求項2に記載の装置。
  4. チャンバ内で基板を処理するとともに該チャンバの構成要素から蓄積した物質層を洗浄するシステムであって、
    蓄積した物質を化学的にエッチングする反応性化学種を生成するように適合した反応性化学種発生器と;
    該反応性化学種発生器に結合され、洗浄中に該反応性化学種発生器によって生成される反応性化学種に曝露される鏡面研磨面を陽極酸化アルミニウムではなく未処理アルミニウム上にもつ少なくとも1つの構成要素を有する処理チャンバと、を含む、システム。
  5. 該処理チャンバが洗浄速度を上げるのに十分な鏡面研磨面を有する、請求項4記載のシステム。
  6. さらに請求項1に記載のガス分配プレートを有する請求項5に記載のシステム。
  7. 前記ガス分配プレートの背面側に位置し、ガスが該チャンバに入ったときにガスに曝露される鏡面研磨内面を有するベースを含むバッキングプレートを含んでいる、請求項6記載のシステム。
  8. チャンバ内で基板を処理するとともに該チャンバの構成要素から蓄積した物質層を洗浄するシステムであって、
    蓄積した物質を化学的にエッチングする反応性化学種を生成するように適合した反応性化学種発生器と;
    該反応性化学種発生器に結合され、洗浄中に該反応性化学種発生器によって生成される反応性化学種に曝露される鏡面研磨面を陽極酸化アルミニウムではなく未処理アルミニウム上にもつチャンバ壁を有する処理チャンバとを含むシステム。
  9. チャンバ内で基板を処理するとともに該チャンバの構成要素から蓄積した物質層を洗浄するシステムであって、
    蓄積した物質を化学的にエッチングする反応性化学種を生成するように適合した反応性化学種発生器と;
    該反応性化学種発生器に結合され、洗浄中に該反応性化学種発生器によって生成される反応性化学種に曝露される鏡面研磨面を陽極酸化アルミニウムではなく未処理アルミニウム上にもつ少なくとも1つの構成要素を有する処理チャンバとを含み、
    前記反応性化学種発生器はリモートプラズマチャンバであり、鏡面研磨面を陽極酸化アルミニウムではなく未処理アルミニウム上にもつ少なくとも1つの構成要素はリモートプラズマチャンバから処理チャンバまで反応性化学種を導入するガス導入ラインを有するシステム。
JP2013041131A 2000-01-31 2013-03-01 改良されたチャンバ洗浄方法及び装置 Pending JP2013175730A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/494581 2000-01-31
US09/494,581 US6432255B1 (en) 2000-01-31 2000-01-31 Method and apparatus for enhancing chamber cleaning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010161660A Division JP5686999B2 (ja) 2000-01-31 2010-07-16 改良されたチャンバ洗浄方法及び装置

Publications (1)

Publication Number Publication Date
JP2013175730A true JP2013175730A (ja) 2013-09-05

Family

ID=23965058

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2001024120A Pending JP2001308019A (ja) 2000-01-31 2001-01-31 改良されたチャンバ洗浄方法及び装置
JP2010161660A Expired - Lifetime JP5686999B2 (ja) 2000-01-31 2010-07-16 改良されたチャンバ洗浄方法及び装置
JP2013041131A Pending JP2013175730A (ja) 2000-01-31 2013-03-01 改良されたチャンバ洗浄方法及び装置

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2001024120A Pending JP2001308019A (ja) 2000-01-31 2001-01-31 改良されたチャンバ洗浄方法及び装置
JP2010161660A Expired - Lifetime JP5686999B2 (ja) 2000-01-31 2010-07-16 改良されたチャンバ洗浄方法及び装置

Country Status (7)

Country Link
US (2) US6432255B1 (ja)
EP (1) EP1122766B1 (ja)
JP (3) JP2001308019A (ja)
KR (1) KR100553481B1 (ja)
DE (1) DE60135049D1 (ja)
SG (1) SG94748A1 (ja)
TW (1) TW473803B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019029524A (ja) * 2017-07-31 2019-02-21 東京エレクトロン株式会社 処理装置及び処理方法

Families Citing this family (269)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1009767C2 (nl) * 1998-07-29 2000-02-04 Asm Int Werkwijze en inrichting voor het etsen van een substraat.
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR20010104215A (ko) * 2000-05-12 2001-11-24 야마자끼 순페이 발광장치 제작방법
DE10029523A1 (de) * 2000-06-21 2002-01-10 Messer Griesheim Gmbh Verfahren und Vorrichtung zum Reinigen eines PVD- oder CVD-Reaktors sowie von Abgasleitungen desselben
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
TW545080B (en) * 2000-12-28 2003-08-01 Semiconductor Energy Lab Light emitting device and method of manufacturing the same
SG148030A1 (en) * 2000-12-28 2008-12-31 Semiconductor Energy Lab Luminescent device
TW518909B (en) * 2001-01-17 2003-01-21 Semiconductor Energy Lab Luminescent device and method of manufacturing same
TW519770B (en) * 2001-01-18 2003-02-01 Semiconductor Energy Lab Light emitting device and manufacturing method thereof
SG118110A1 (en) 2001-02-01 2006-01-27 Semiconductor Energy Lab Organic light emitting element and display device using the element
CN101397649B (zh) * 2001-02-01 2011-12-28 株式会社半导体能源研究所 能够将有机化合物沉积在衬底上的装置
US20030010288A1 (en) * 2001-02-08 2003-01-16 Shunpei Yamazaki Film formation apparatus and film formation method
TW582121B (en) * 2001-02-08 2004-04-01 Semiconductor Energy Lab Light emitting device
US7661386B2 (en) * 2001-02-09 2010-02-16 Tokyo Electron Limited Film forming device
TW550672B (en) * 2001-02-21 2003-09-01 Semiconductor Energy Lab Method and apparatus for film deposition
SG118118A1 (en) * 2001-02-22 2006-01-27 Semiconductor Energy Lab Organic light emitting device and display using the same
US6761796B2 (en) 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP2003264186A (ja) * 2002-03-11 2003-09-19 Asm Japan Kk Cvd装置処理室のクリーニング方法
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6931132B2 (en) * 2002-05-10 2005-08-16 Harris Corporation Secure wireless local or metropolitan area network and related methods
US20040071878A1 (en) * 2002-08-15 2004-04-15 Interuniversitair Microelektronica Centrum (Imec Vzw) Surface preparation using plasma for ALD Films
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
JP2004200307A (ja) * 2002-12-17 2004-07-15 Tokyo Electron Ltd プラズマ処理装置
US20040129385A1 (en) * 2003-01-02 2004-07-08 International Business Machines Corporation Pre-loaded plasma reactor apparatus and application thereof
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP3940095B2 (ja) * 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
US7092206B2 (en) * 2003-06-25 2006-08-15 Hitachi Global Storage Technologies Netherlands B.V. Magnetic head with magnetic layers of differing widths and third pole with reduced thickness
JP3855982B2 (ja) * 2003-09-25 2006-12-13 セイコーエプソン株式会社 クリーニング方法及びクリーニング装置
US7358192B2 (en) * 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050274396A1 (en) * 2004-06-09 2005-12-15 Hong Shih Methods for wet cleaning quartz surfaces of components for plasma processing chambers
KR100596488B1 (ko) * 2004-06-16 2006-07-03 삼성전자주식회사 반도체 기판 가공 방법
US20050284573A1 (en) * 2004-06-24 2005-12-29 Egley Fred D Bare aluminum baffles for resist stripping chambers
US7434712B2 (en) * 2004-07-09 2008-10-14 Blackhawk Industries Product Group Unlimited Llc Hooded holster
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
US20060054183A1 (en) * 2004-08-27 2006-03-16 Thomas Nowak Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US7902050B2 (en) 2005-06-02 2011-03-08 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
US20100163179A1 (en) * 2005-12-22 2010-07-01 Shigeki Tozawa Substrate Processing Apparatus
WO2008039465A2 (en) * 2006-09-25 2008-04-03 E. I. Du Pont De Nemours And Company Method for removing surface deposits in the interior of a chemical vapor deposition reactor
US8568555B2 (en) * 2007-03-30 2013-10-29 Tokyo Electron Limited Method and apparatus for reducing substrate temperature variability
US7964430B2 (en) * 2007-05-23 2011-06-21 Applied Materials, Inc. Silicon layer on a laser transparent conductive oxide layer suitable for use in solar cell applications
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
US8999847B2 (en) 2010-08-16 2015-04-07 Applied Materials, Inc. a-Si seasoning effect to improve SiN run-to-run uniformity
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
KR20150091769A (ko) * 2014-02-04 2015-08-12 주성엔지니어링(주) 기판처리장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103962353B (zh) * 2014-03-31 2016-03-02 上海华力微电子有限公司 等离子体刻蚀装置的腔体清洗方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
KR101738524B1 (ko) * 2015-09-15 2017-05-24 주식회사 테라텍 원격플라즈마 세정 장치 및 이를 이용한 세정방법
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210074326A (ko) * 2019-01-30 2021-06-21 어플라이드 머티어리얼스, 인코포레이티드 진공 시스템을 세정하기 위한 방법, 기판의 진공 프로세싱을 위한 방법, 및 기판을 진공 프로세싱하기 위한 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03185723A (ja) * 1989-12-14 1991-08-13 Nec Corp 半導体装置の製造装置
WO1996015287A2 (en) * 1994-11-09 1996-05-23 Materials Research Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
JPH11145064A (ja) * 1997-11-07 1999-05-28 Iwatani Internatl Corp プラズマチャンバー
JPH11152573A (ja) * 1997-07-11 1999-06-08 Applied Materials Inc ガス混合装置及び方法
JPH11281307A (ja) * 1998-03-26 1999-10-15 Shin Etsu Chem Co Ltd 電極板、電極板の製造方法およびその小径孔内壁表面粗さの測定方法
WO2000003064A1 (en) * 1998-07-13 2000-01-20 Applied Komatsu Technology, Inc. Gas distributor plate for a processing apparatus

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3717439A (en) * 1970-11-18 1973-02-20 Tokyo Shibaura Electric Co Vapour phase reaction apparatus
US4534816A (en) * 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US5362328A (en) * 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5277740A (en) * 1990-08-31 1994-01-11 Mitsubishi Denki Kabushiki Kaisha Apparatus and method for forming a fine pattern
DE69222110T2 (de) * 1991-10-18 1998-03-05 Koninkl Philips Electronics Nv Verfahren zum Herstellen einer Halbeiteranordnung, wobei auf der Oberfläche einer Halbleiterscheibe aus einem Prozessgas eine Materialschicht abgeschieden wird
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
JPH10251853A (ja) * 1997-03-17 1998-09-22 Mitsubishi Electric Corp 化学気相成長装置
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03185723A (ja) * 1989-12-14 1991-08-13 Nec Corp 半導体装置の製造装置
WO1996015287A2 (en) * 1994-11-09 1996-05-23 Materials Research Corporation Method and apparatus for isolating a susceptor heating element from a chemical vapor deposition environment
JPH11152573A (ja) * 1997-07-11 1999-06-08 Applied Materials Inc ガス混合装置及び方法
JPH11145064A (ja) * 1997-11-07 1999-05-28 Iwatani Internatl Corp プラズマチャンバー
JPH11281307A (ja) * 1998-03-26 1999-10-15 Shin Etsu Chem Co Ltd 電極板、電極板の製造方法およびその小径孔内壁表面粗さの測定方法
WO2000003064A1 (en) * 1998-07-13 2000-01-20 Applied Komatsu Technology, Inc. Gas distributor plate for a processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019029524A (ja) * 2017-07-31 2019-02-21 東京エレクトロン株式会社 処理装置及び処理方法

Also Published As

Publication number Publication date
US20020174885A1 (en) 2002-11-28
JP5686999B2 (ja) 2015-03-18
EP1122766A3 (en) 2006-05-31
EP1122766A2 (en) 2001-08-08
DE60135049D1 (de) 2008-09-11
KR20010078211A (ko) 2001-08-20
EP1122766B1 (en) 2008-07-30
JP2001308019A (ja) 2001-11-02
JP2010242224A (ja) 2010-10-28
SG94748A1 (en) 2003-03-18
TW473803B (en) 2002-01-21
US6432255B1 (en) 2002-08-13
KR100553481B1 (ko) 2006-02-22
US6863077B2 (en) 2005-03-08

Similar Documents

Publication Publication Date Title
JP5686999B2 (ja) 改良されたチャンバ洗浄方法及び装置
KR100597880B1 (ko) 챔버 클리닝 향상 방법 및 장치
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
US6182603B1 (en) Surface-treated shower head for use in a substrate processing chamber
EP1827871B1 (en) Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7226869B2 (en) Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US20040139983A1 (en) Cleaning of CVD chambers using remote source with CXFYOZ based chemistry
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
US20070131171A1 (en) Plasma process device and plasma process method
JP2008078515A (ja) プラズマ処理方法
US6277235B1 (en) In situ plasma clean gas injection
US10867777B2 (en) Plasma processing method and plasma processing apparatus
JP3649650B2 (ja) 基板エッチング方法、半導体装置製造方法
US20180350571A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
TW202204663A (zh) 薄膜形成方法
JPH11111699A (ja) ガスクリーニング装置およびガスクリーニング方法
JPH0620978A (ja) グロー放電方法及びグロー放電装置
JP2015106587A (ja) 静電チャックのコーティング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140326

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140408

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140704

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140709

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141014