JP2010522434A - 高電圧GaNベースヘテロ接合トランジスタのための終端およびコンタクト構造 - Google Patents

高電圧GaNベースヘテロ接合トランジスタのための終端およびコンタクト構造 Download PDF

Info

Publication number
JP2010522434A
JP2010522434A JP2009554728A JP2009554728A JP2010522434A JP 2010522434 A JP2010522434 A JP 2010522434A JP 2009554728 A JP2009554728 A JP 2009554728A JP 2009554728 A JP2009554728 A JP 2009554728A JP 2010522434 A JP2010522434 A JP 2010522434A
Authority
JP
Japan
Prior art keywords
active layer
layer
semiconductor device
disposed
termination
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009554728A
Other languages
English (en)
Inventor
マーフィー,マイケル
ポフリスティック,ミラン
Original Assignee
ヴェロックス セミコンダクター コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ヴェロックス セミコンダクター コーポレーション filed Critical ヴェロックス セミコンダクター コーポレーション
Publication of JP2010522434A publication Critical patent/JP2010522434A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/0242Crystalline insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/432Heterojunction gate for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10346Indium gallium nitride [InGaN]

Abstract

基板、基板の上に配設される第1の活性層および第1の活性層上に配設される第2の活性層を含む半導体デバイスが提供される。二次元の電子ガス層が第1の活性層と第2の活性層との間に生じるように、第1の活性層より大きいバンドギャップを第2の活性層が有する。終端層が第2の活性層上に配設されて、InGaNを含む。ソース、ゲートおよびドレインコンタクトがこの終端層上に配設される。
【選択図】 図1

Description

(関連出願)
本出願は、これと共に同じ日付に出願されて、本願明細書にその全体を引用したものとする、同時継続中の(特許文献1)に関連する。
本出願は、また、これと共に同じ日付に出願されて、本願明細書にその全体を引用したものとする、同時継続中の(特許文献2)に関連する。
本発明は、高電圧トランジスタヘテロ構造に関して、特に高電圧窒化ガリウム(GaN)高電子移動度トランジスタ(HEMT)に関する。
窒化ガリウム(GaN)は、高電子移動度トランジスタ(HEMT)のような電子デバイスの性能を高めるために十分な機会を提供する。HEMTは従来の電界効果トランジスタ(FET)にそっくりにふるまい、および、HEMTデバイスの製造はFETアーキテクチャに基づく。しかしながら、HEMTは2つの化合物半導体層間のきわめて精密な、格子整合したヘテロ接合を必要とする。一般に、GaN HEMTは基板上に付着されるショットキ層およびGaN緩衝層、ならびにショットキ層上に付着されるソース、ゲートおよびドレインコンタクトを有する。
GaNベースのFETデバイスは、大きいバンドギャップを有するAlGaN層とより狭いバンドギャップを有するGaN層との間のヘテロ接合界面に量子ウェルを形成することによって電子移動度を最大化することが可能である。結果として、電子が量子ウェル内に捕捉される。捕獲電子は、ドープされていないGaN層内の二次元の電子ガスによって表される。電流の量は、電子がソース電極とドレイン電極との間のチャンネルに沿って流れるように、半導体とのショットキ接触にあるゲート電極に電圧を印加することによって制御される。
米国特許出願第11/725,760号、名称「デプレッションモードGaNベースFETを使用したカスコード回路」 米国特許出願第11/725,820号、名称「高電圧GaNベースヘテロ接合トランジスタ構造およびそれを形成する方法」
M.Asif Khan他、「AlGalnN/GaNヘテロ構造電界効果トランジスタにおける歪エネルギー帯工学」GAAS99
HEMTの市場が成長し続けるにつれて、降伏電圧Vbrおよびリーク電流Iのようなさまざまな動作特性を高めるために多くの改良が望ましいままである。例えば、ショットキ層が一般的に金属で、HEMTの製造中におよび/またはHEMTの動作中に大気に曝露される場合があるので、適切に対処されないままである1つの課題が生じる。ショットキ層を大気に曝露することによって、酸化のような表面反応が、ショットキ層の表面に生じる可能性がある。これらの表面反応は、HEMTの性能を劣化させ、更に不動態化の有効性を減少させる可能性がある。不動態化は、HEMTの表面上の表面トラップを不動態化するかまたは充填するために、HEMTの表面上の誘電材料の付着であり、それによってRFからDCまでの分散のようなこれらの表面トラップのためにデバイス劣化を回避する。
したがって、とりわけ、GaN HEMTの製造および動作中に表面反応を防ぐことが可能な再生可能な終端層を有する高電圧GaN HEMT構造に対する必要性が残る。
本発明に従って、基板、基板の上に配設される第1の活性層、および、第1の活性層上に配設される第2の活性層を含む半導体デバイスが提供される。二次元の電子ガス層が第1の活性層と第2の活性層との間に生じるように、第1の活性層より大きいバンドギャップを第2の活性層が有する。終端層が第2の活性層上に配設されて、InGaNを含む。ソース、ゲートおよびドレインコンタクトがこの終端層上に配設される。
本発明の一態様に従って、第1の活性層がIII属窒素化合物半導体材料を備え、第1の活性層がGaNを備える。
本発明の別の態様に従って、第2の活性層がIII属窒化物半導体材料を備える。
本発明の別の態様に従って、第2の活性層がAlGa1−xNを備え、ここで0<X<1である。
本発明の別の態様に従って、第2の活性層がAlGaN、AlInNおよびAlInGaNからなる群から選ばれる。
本発明の別の態様に従って、核形成層が基板と第1の活性層との間に配設される。
本発明の別の態様に従って、半導体デバイスが、基板、基板の上に配設される第1の活性層および第1の活性層上に配設される第2の活性層を含む。第1の活性層と第2の活性層との間に二次元の電子ガス層が生じるように、第2の活性層は第1の活性層より大きなバンドギャップを有する。終端層が、第2の活性層上に配設される。この終端層は、FeドープされたGaN、SiドープされたGaN、FeNおよびSiNからなる群から選択される。ソース、ゲートおよびドレインコンタクトが、終端層上に配設される。
本発明の別の態様に従って、半導体デバイスが、基板、基板の上に配設される第1の活性層および第1の活性層上に配設される第2の活性層を含む。第1の活性層と第2の活性層との間に二次元の電子ガス層が生じるように、第2の活性層は第1の活性層より大きなバンドギャップを有する。第2の活性層は、その中に形成される第1および第2の凹部を含む。ソースおよびドレインコンタクトが、それぞれ、第1および第2の凹部内に配設される。ゲート電極が、第2の活性層の上に配設される。
高電子移動度トランジスタ(HEMT)内に組み込まれる窒化ガリウム(GaN)ヘテロ接合構造の一実施態様を示す。 高電子移動度トランジスタ(HEMT)内に組み込まれる窒化ガリウム(GaN)ヘテロ接合構造の代替実施態様を示す。 高電子移動度トランジスタ(HEMT)内に組み込まれる窒化ガリウム(GaN)ヘテロ接合構造の代替実施態様を示す。
本願明細書において「一実施態様」または「実施態様」に対するいかなる参照も、この実施態様と関連して記載される特定の特徴、構造または特性が、本発明の少なくとも一つの実施態様内に含まれることを意味する点に注意する価値がある。明細書内のさまざまな場所における句「一実施態様において」の出現が、必ずしも全て同じ実施態様を参照しているというわけではない。さらに、さまざまな実施態様が本願明細書において明示的に示されない追加的な実施態様を得るために多数の方法で組み合わせられることができる。
本発明は、図1にて図示したように、高電子移動度トランジスタ(HEMT)10内に組み込まれる高電圧、窒化ガリウム(GaN)ヘテロ接合構造に関する。HEMT 10は、基板12、核形成(遷移)層18、GaN緩衝層22、アルミニウム窒化ガリウム(AlGa1−xN;0<X<1)ショットキ層24およびキャップまたは終端層16を含む。更に、HEMT 10はソースコンタクト27、ゲートコンタクト28およびドレインコンタクト30を含む。
GaNヘテロ接合構造10は、エピタキシャル成長プロセスを使用して、一般的に製造される。たとえば、ガリウム、アルミニウムおよび/またはインジウムのような、半導体の金属成分が、ターゲットおよび基板の双方が、窒素および一つ以上のドーパントを含むガスの雰囲気内にある間、基板に極めて近傍に配設された金属ターゲットから叩き出されるところで反応性スパッタリングプロセスが、使われることができる。代わりとして、金属有機化学蒸着(MOCVD)が使用されることができ、基板が高い温度、一般的に約700−1100℃に維持される間、基板が、金属の有機化合物を含む雰囲気に、同じくアンモニアのような反応性窒素含有ガスおよびドーパント含有ガスに曝露される。ガス状の化合物が、分解して、基板302の表面の上に結晶材料のフィルムの形のドープされた半導体を形成する。基板および成長されたフィルムは、次いで冷却される。更なる変形例として、分子線エピタキシ(MBE)または原子層エピタキシのような他のエピタキシャル成長方法が使われることができる。使用されることができるさらに追加的な技術としては、流量変調有機金属化合物気相成長(FM−OMVPE)、有機金属化合物気相成長(OMVPE)、水素化物気相成長(HVPE)および物理蒸着法(PVD)が挙げられるが、これに限定されるものではない。
構造の成長を開始するために、核形成層18が基板12上に付着される。基板12は、サファイヤまたは炭化珪素(SiC)を含むがこれに限らずさまざまな材料から形成されることができる。核形成層18は例えば、AlGa1−xNのようなアルミニウムに富む層であることができ、ここでXは0から1までの範囲内にある。核形成層18はGaN緩衝層22と基板12との間の格子不整合を補正するように働く。一般に、1つの層の原子間の間隔が隣接層の原子間の間隔に整合しないときに、格子不整合が作り出される。格子不整合の結果として、隣接層の原子間の結合は弱く、および、隣接層に亀裂が入るか、分かれるかまたは多数の結晶欠陥を有する可能性がある。したがって、核形成層18は基板12の結晶構造とGaN緩衝層22の結晶構造との間に界面を作り出すことによってGaN緩衝層22と基板12との間の格子不整合を補正するように働く。
核形成層18を付着したあと、GaN緩衝層22が核形成層18上に付着され、および、AlGa1−xNショットキ層24がGaN緩衝層22上に付着される。薄い、高移動度チャンネルである二次元伝導チャンネル26が、キャリヤをGaN緩衝層22とAlGa1−xNショットキ層24との間の界面領域に限定する。キャップまたは終端層16が、AlGa1−xNショットキ層24上に付着されて、HEMT 10の製造および動作中に、AlGa1−xNショットキ層24を酸化のような、表面反応から保護するように働く。ショットキ層24がアルミニウムを含むので、AlGa1−xNショットキ層24が大気に曝露されて、別な方法で保護されていない場合、酸化が生じる。
基板12上のエピタキシャル層18、22および24ならびに終端層16の成長の後、HEMT 10は、終端層16上に、それぞれソース、ゲートおよびドレインコンタクト27、28および30を付着することによって完成される。コンタクト27、28および30の各々は、金属コンタクトである。好ましくは、ゲートコンタクト28はニッケル、金のような、しかしこれに限られない金属材料であり、およびソースおよびドレインコンタクト27および30は、各々、チタン、金またはアルミニウムのような、しかしこれらに限られない金属材料である。
本発明の一実施態様において、終端層16はAlGa1−xNショットキ層24上に形成されるInGaN層である。InGaN層16は、2つの目的を果たし、その第1は、酸化が減少されるように、Alを含まない上層を提供することである。さらに、InGaAlNのようなAl含有化合物が、適切な均一性および平滑性を提供するために一般により高い成長温度が必要なので、Alを含む材料の代わりにInGaN材料を用いて、成長プロセスが単純化されることができる。加えて、InGaN層24は表面でポテンシャル障壁をわずかに低下させ、それが表面電荷の蓄積を減少させることができて、この構造の表面上のリーク電流を減少させることができる。
本発明の別の実施態様において、終端層16はAl金属を備えたフラッシュ層である。フラッシュ層は、材料のきわめて短いバーストで形成されている。これは、構造の表面の上にきわめて薄い(例えば1−2単分子層の材料)、しかし、平坦なカバレージを形成する。フラッシュ層は、一般にその場で施される。金属Alが形成され、かつAlNが形成されないことを確実にするために、AlNを形成するときにさもなければ存在するであろう反応性窒素含有ガス(例えばアンモニア)が、不在である。Alフラッシュ層は、高いかまたは低い温度で形成されることができる。その形成の後、Alはその後アニールされて薄い酸化物層を形成することができる。Alフラッシュ層がきわめて薄いので、それはその全体に酸化されることができ、したがって、材料の上に初期「自然」酸化膜を作り出し、それは、次いでショットキ層24をプロセスでしばしば見られるタイプの劣化を受けることから保護する。これは、また、その両方がHEMT性能にとって重要な、リーク電流の減少および降伏電圧増大のための追加的なバリア材料として働くことができる。Alの代わりに、フラッシュ層はガリウムまたはさらにインジウムのような他の金属を備えることができる。GaまたはInフラッシュ層は、また、構造上に均一な「自然」酸化膜を形成するために酸化されることができる。
本発明のさらに他の実施態様において、キャップまたは終端層16が高度にFeドープされたGaN、SiドープされたGaN、FeNまたはSiNのようなその他の材料から形成されることができる。エピタキシャル、非エピタキシャルまたはアモルファスでさえあることができるこれらの層は、初期不活性化層として、または、リーク電流を減少させ、かつ降伏電圧を増大する追加的なバリア材料として、機能することができる。たとえば、FeのGaNへの追加は、材料がより絶縁性となり、電子移動度を減少させるので、リーク電流を減少させることができる材料になる。
本発明の他の実施態様において、薄いAlN層がAlGa1−xNショットキ層24上に形成されることができる。この層は、より効率的に電荷を調節するのを助けるために追加的なショットキバリア層を提供し、したがって、デバイスのリーク電流を減少させて、降伏電圧を増大する。AlNはオーミックコンタクトを付着するために容易にウエットエッチングすることができるので、AlN層はまた構造の初期不活性化層として働くことができる。代わりとして、不活性化層を形成するためにAlN層が酸化されることができる。
いくつかの実施態様において、終端層16はおよそ1ないし5ナノメートルの厚さである。したがって、電子は終端層16中を容易にトンネルすることができる。結果として、終端層16はゲートコンタクト28とAlGa1−xNショットキ層24との間のショットキバリア高さを増加させず、ここでショットキバリア高さはゲートコンタクト28とAlGa1−xNショットキ層24との界面における電子によって直面されるポテンシャルエネルギーバリアを規定する。更に、終端層16はソースおよびドレインコンタクト27および30の形成に影響を及ぼさない。
図2は、本発明のさらに別の実施態様を示し、そこにおいてオーミックコンタクト27および28が、AlGa1−xNショットキ層24から形成される凹部内に位置する。この凹部は、従来の技術に従ってAlGa1−xNショットキ層24をエッチングすることによって形成される。凹部は、AlGa1−xNショットキ層24を通して部分的にまたは完全に延伸することができる。たとえば、場合によっては、凹部は深さ約5ないし15nmの深さまで延伸することができ、それによってAlGa1−xNショットキ層24の充分な厚さがチャンネル層26を作り出すままとすることを可能にする。このようにコンタクトをへこませることによってコンタクト比抵抗および表面の平滑性が、オーミックコンタクトを形成するために付着される金属の浸透を増加させるように減少される。増大された表面粗さは、半導体内へのより良い金属のマイグレーションに結びつく)。低オン抵抗を必要とするデバイスに対して、この配置は最も低い可能なオン抵抗を達成する点で有意であることができる。図示されてはいないが、本発明の本実施態様はまた、上で論じられたもののようなキャップまたは終端層を使用することができる。この場合、コンタクト27および28が位置する凹部はまた、終端層を通して延伸する。
図3は、バリア層24がAlGa1−xNの代わりにAlInGaNから形成される本発明の別の実施態様を示す。たとえば、(非特許文献1)内に論じられているように、xが0.1から0.2まで、およびyが0.00から0.02まで変化する合金組成を有する50nm未満のバリア厚さを有するAlInGa(1−x−y)N接合が、使用される。さらに、Khan他は、格子定数の直線補間に基づいて、Al/In比率5がほぼGaNと格子整合するはずであると述べている。AlInGaNを用いて、歪がバンドギャップから独立に制御されることができ、それによって、材料のバンドギャップが臨界厚に関しより自由に変更されることができる。パワーデバイスに対してこれは、不必要に材料に応力を加えて、材料が時間とともに弛緩するにつれてさもなければ生じるであろう、デバイス寿命を減少させることなく、チャンネル内にほとんどの電荷を得るために決定的となることができる。
さまざまな実施態様が詳細に例示されて、本願明細書において記載されているとはいえ、理解されるであろうことは、本発明の修正および変更が、上記の教示によって包含され、かつ本発明の趣旨および意図された目的から逸脱することなく、添付の特許請求の範囲の範囲内にある、ということである。例えば、デプレッションモードFETがGaNベースのデバイスとして記述されたとはいえ、本発明は、さらに一般的にいえばIII属元素がガリウム(Ga)、アルミニウム(Al)、硼素(B)またはインジウム(In)であることができる任意のIII属窒化物化合物半導体から形成されるデプレッションモードFETを包含する。
10 HEMT
12 基板
16 終端層
18 核形成層
22 緩衝層
24 ショットキ層
26 チャンネル層
27 ソースコンタクト
28 ゲートコンタクト
30 ドレインコンタクト
302 基板

Claims (20)

  1. 半導体デバイスであって、
    基板と、
    前記基板の上に配設される第1の活性層と、
    前記第1の活性層上に配設される第2の活性層であって、二次元の電子ガス層が前記第1の活性層と前記第2の活性層との間に生じるように、前記第1の活性層より大きいバンドギャップを有する第2の活性層と、
    前記第2の活性層上に配設される終端層であって、InGaNを含む終端層と、
    前記終端層上に配設されるソース、ゲートおよびドレインコンタクトと、を備える、半導体デバイス。
  2. 請求項1に記載の半導体デバイスであって、前記第1の活性層が、III属窒化物半導体材料を備える、ことを特徴とする半導体デバイス。
  3. 請求項2に記載の半導体デバイスであって、前記第1の活性層がGaNを備える、ことを特徴とする半導体デバイス。
  4. 請求項1に記載の半導体デバイスであって、前記第2の活性層が、III属窒化物半導体材料を備える、ことを特徴とする半導体デバイス。
  5. 請求項4に記載の半導体デバイスであって、前記第2の活性層が、AlGa1−xNを備え、0<X<1である、ことを特徴とする半導体デバイス。
  6. 請求項4に記載の半導体デバイスであって、前記第2の活性層が、AlGaN、AlInNおよびAlInGaNからなる群から選ばれる、ことを特徴とする半導体デバイス。
  7. 請求項1に記載の半導体デバイスであって、さらに、前記基板と前記第1の活性層との間に配設される核形成層を備える半導体デバイス。
  8. 半導体デバイスであって、
    基板と、
    前記基板の上に配設される第1の活性層と、
    前記第1の活性層上に配設される第2の活性層であって、二次元の電子ガス層が前記第1の活性層と前記第2の活性層との間に生じるように、前記第1の活性層より大きいバンドギャップを有する第2の活性層と、
    前記第2の活性層上に配設される終端層であって、FeドープされたGaN、SiドープされたGaN、FeNおよびSiNからなる群から選択される終端層と、
    前記終端層上に配設されるソース、ゲートおよびドレインコンタクトと、を備える半導体デバイス。
  9. 請求項8に記載の半導体デバイスであって、前記第1の活性層が、III属窒化物半導体材料を備える、ことを特徴とする半導体デバイス。
  10. 請求項9に記載の半導体デバイスであって、前記第1の活性層がGaNを備える、ことを特徴とする半導体デバイス。
  11. 請求項8に記載の半導体デバイスであって、前記第2の活性層が、III属窒化物半導体材料を備える、ことを特徴とする半導体デバイス。
  12. 請求項11に記載の半導体デバイスであって、前記第2の活性層が、AlGa1−xNを備え、0<X<1である、ことを特徴とする半導体デバイス。
  13. 請求項11に記載の半導体デバイスであって、前記第2の活性層が、AlGaN、AlInNおよびAlInGaNからなる群から選ばれる、ことを特徴とする半導体デバイス。
  14. 請求項8に記載の半導体デバイスであって、さらに、前記基板と前記第1の活性層との間に配設される核形成層を備える半導体デバイス。
  15. 半導体デバイスであって、
    基板と、
    前記基板の上に配設される第1の活性層と、
    前記第1の活性層上に配設される第2の活性層であって、二次元の電子ガス層が前記第1の活性層と前記第2の活性層との間に生じるように、前記第1の活性層より大きいバンドギャップを有し、前記第2の活性層がその中に形成される第1および第2の凹部を含む、第2の活性層と、
    前記第1および第2の凹部内にそれぞれ配設されるソースおよびドレインコンタクトと、
    前記第2の活性層の上に配設されるゲート電極と、を備える、ことを特徴とする半導体デバイス。
  16. 請求項15に記載の半導体デバイスであって、さらに、前記第2の活性層の上に配設される終端層、を備え、そして、前記ソースおよびドレインコンタクトが、前記終端層を通して延伸する、ことを特徴とする半導体デバイス。
  17. 請求項16に記載の半導体デバイスであって、前記終端層が、InGaNを備える、ことを特徴とする半導体デバイス。
  18. 請求項16に記載の半導体デバイスであって、前記終端層が、FeドープされたGaN、SiドープされたGaN、FeNおよびSiNからなる群から選択される、ことを特徴とする半導体デバイス。
  19. 請求項15に記載の半導体デバイスであって、前記第1の活性層が、III属窒化物半導体材料を備える、ことを特徴とする半導体デバイス。
  20. 請求項19に記載の半導体デバイスであって、前記第1の活性層がGaNを備える、ことを特徴とする半導体デバイス。
JP2009554728A 2007-03-20 2008-03-20 高電圧GaNベースヘテロ接合トランジスタのための終端およびコンタクト構造 Pending JP2010522434A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/725,823 US7939853B2 (en) 2007-03-20 2007-03-20 Termination and contact structures for a high voltage GaN-based heterojunction transistor
PCT/US2008/057602 WO2008116040A1 (en) 2007-03-20 2008-03-20 Termination and contact structures for a high voltage gan-based heterojunction transistor

Publications (1)

Publication Number Publication Date
JP2010522434A true JP2010522434A (ja) 2010-07-01

Family

ID=39766445

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009554728A Pending JP2010522434A (ja) 2007-03-20 2008-03-20 高電圧GaNベースヘテロ接合トランジスタのための終端およびコンタクト構造

Country Status (7)

Country Link
US (3) US7939853B2 (ja)
EP (2) EP2140493A4 (ja)
JP (1) JP2010522434A (ja)
KR (1) KR20090128506A (ja)
CN (2) CN103094336A (ja)
HK (1) HK1142995A1 (ja)
WO (1) WO2008116040A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009032713A (ja) * 2007-07-24 2009-02-12 National Institute Of Advanced Industrial & Technology GaNをチャネル層とする窒化物半導体トランジスタ及びその作製方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6635544B2 (en) 2001-09-07 2003-10-21 Power Intergrations, Inc. Method of fabricating a high-voltage transistor with a multi-layered extended drain structure
US7786533B2 (en) * 2001-09-07 2010-08-31 Power Integrations, Inc. High-voltage vertical transistor with edge termination structure
US7417266B1 (en) 2004-06-10 2008-08-26 Qspeed Semiconductor Inc. MOSFET having a JFET embedded as a body diode
US8026568B2 (en) 2005-11-15 2011-09-27 Velox Semiconductor Corporation Second Schottky contact metal layer to improve GaN Schottky diode performance
US7557406B2 (en) * 2007-02-16 2009-07-07 Power Integrations, Inc. Segmented pillar layout for a high-voltage vertical transistor
US7859037B2 (en) 2007-02-16 2010-12-28 Power Integrations, Inc. Checkerboarded high-voltage vertical transistor layout
US8653583B2 (en) * 2007-02-16 2014-02-18 Power Integrations, Inc. Sensing FET integrated with a high-voltage transistor
US7595523B2 (en) * 2007-02-16 2009-09-29 Power Integrations, Inc. Gate pullback at ends of high-voltage vertical transistor structure
US8035130B2 (en) * 2007-03-26 2011-10-11 Mitsubishi Electric Corporation Nitride semiconductor heterojunction field effect transistor having wide band gap barrier layer that includes high concentration impurity region
US8115457B2 (en) 2009-07-31 2012-02-14 Power Integrations, Inc. Method and apparatus for implementing a power converter input terminal voltage discharge circuit
US8207577B2 (en) * 2009-09-29 2012-06-26 Power Integrations, Inc. High-voltage transistor structure with reduced gate capacitance
KR101711205B1 (ko) * 2009-12-21 2017-03-02 삼성전자주식회사 전계효과 트랜지스터 및 이에 기반한 센서
KR101774933B1 (ko) * 2010-03-02 2017-09-06 삼성전자 주식회사 듀얼 디플리션을 나타내는 고 전자 이동도 트랜지스터 및 그 제조방법
US8986835B2 (en) * 2010-04-05 2015-03-24 Purdue Research Foundation Growth process for gallium nitride porous nanorods
KR20120032329A (ko) 2010-09-28 2012-04-05 삼성전자주식회사 반도체 소자
KR102065115B1 (ko) * 2010-11-05 2020-01-13 삼성전자주식회사 E-모드를 갖는 고 전자 이동도 트랜지스터 및 그 제조방법
KR101052637B1 (ko) * 2011-03-17 2011-07-28 일진머티리얼즈 주식회사 결함의 관통 억제 효과가 우수한 질화물 반도체 소자 및 그 제조 방법
JP5923712B2 (ja) * 2011-06-13 2016-05-25 パナソニックIpマネジメント株式会社 半導体装置及びその製造方法
KR101259126B1 (ko) * 2011-07-25 2013-04-26 엘지전자 주식회사 질화물계 반도체 이종접합 반도체 소자 및 그 제조방법
US8633094B2 (en) 2011-12-01 2014-01-21 Power Integrations, Inc. GaN high voltage HFET with passivation plus gate dielectric multilayer structure
US8940620B2 (en) 2011-12-15 2015-01-27 Power Integrations, Inc. Composite wafer for fabrication of semiconductor devices
US9666705B2 (en) * 2012-05-14 2017-05-30 Infineon Technologies Austria Ag Contact structures for compound semiconductor devices
CN102810564B (zh) * 2012-06-12 2017-03-15 苏州能讯高能半导体有限公司 一种射频器件及其制作方法
US8928037B2 (en) 2013-02-28 2015-01-06 Power Integrations, Inc. Heterostructure power transistor with AlSiN passivation layer
US9443737B2 (en) * 2013-04-03 2016-09-13 Texas Instruments Incorporated Method of forming metal contacts in the barrier layer of a group III-N HEMT
US10325988B2 (en) 2013-12-13 2019-06-18 Power Integrations, Inc. Vertical transistor device structure with cylindrically-shaped field plates
US9543396B2 (en) 2013-12-13 2017-01-10 Power Integrations, Inc. Vertical transistor device structure with cylindrically-shaped regions
CN103996706A (zh) * 2014-04-16 2014-08-20 中国科学院半导体研究所 氮化镓基晶体管及其制备方法
US9917156B1 (en) * 2016-09-02 2018-03-13 IQE, plc Nucleation layer for growth of III-nitride structures
CN108807524B (zh) * 2017-09-06 2021-11-02 苏州捷芯威半导体有限公司 半导体器件及其制造方法
CN109659361B (zh) 2017-10-12 2022-03-04 电力集成公司 用于异质结器件的栅极堆叠体
US11469333B1 (en) 2020-02-19 2022-10-11 Semiq Incorporated Counter-doped silicon carbide Schottky barrier diode
US20220376084A1 (en) * 2020-12-18 2022-11-24 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
US20220199817A1 (en) 2020-12-18 2022-06-23 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
CN112928022B (zh) * 2021-01-29 2023-07-25 中国科学院微电子研究所 高电子场效应晶体管及其制作方法
TWI785864B (zh) * 2021-10-27 2022-12-01 財團法人工業技術研究院 半導體基板以及電晶體

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002359256A (ja) * 2001-05-31 2002-12-13 Fujitsu Ltd 電界効果型化合物半導体装置
WO2006022874A1 (en) * 2004-07-23 2006-03-02 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
WO2006057686A2 (en) * 2004-11-23 2006-06-01 Cree, Inc. Cap layers and/or passivation layers for nitride-based transistors, transistor structures and methods of fabricating same
JP2006165207A (ja) * 2004-12-07 2006-06-22 Fujitsu Ltd 化合物半導体装置およびその製造方法
JP2006222191A (ja) * 2005-02-09 2006-08-24 Nippon Telegr & Teleph Corp <Ntt> 半導体装置

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL167277C (nl) 1970-08-29 1981-11-16 Philips Nv Halfgeleiderinrichting met een plaatvorming half- geleiderlichaam met over althans een deel van de dikte van het halfgeleiderlichaam afgeschuinde randen, dat is voorzien van een metalen elektrode die een gelijkrichtende overgang vormt met het halfgeleider- lichaam en werkwijze ter vervaardiging van de halfgeleiderinrichting.
FR2517883A1 (fr) 1981-12-09 1983-06-10 Thomson Csf Dispositif semi-conducteur a faible capacite parasite muni de connexions externes prises au moyen de poutres
US4543595A (en) 1982-05-20 1985-09-24 Fairchild Camera And Instrument Corporation Bipolar memory cell
GB2137412B (en) 1983-03-15 1987-03-04 Standard Telephones Cables Ltd Semiconductor device
US4946547A (en) 1989-10-13 1990-08-07 Cree Research, Inc. Method of preparing silicon carbide surfaces for crystal growth
US5200022A (en) 1990-10-03 1993-04-06 Cree Research, Inc. Method of improving mechanically prepared substrate surfaces of alpha silicon carbide for deposition of beta silicon carbide thereon and resulting product
EP0576566B1 (en) 1991-03-18 1999-05-26 Trustees Of Boston University A method for the preparation and doping of highly insulating monocrystalline gallium nitride thin films
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
CA2120610C (en) 1992-08-07 1999-03-02 Hideaki Imai Nitride based semiconductor device and manufacture thereof
DE69433926T2 (de) 1993-04-28 2005-07-21 Nichia Corp., Anan Halbleitervorrichtung aus einer galliumnitridartigen III-V-Halbleiterverbindung
JP3495814B2 (ja) 1994-05-30 2004-02-09 キヤノン株式会社 電池用電極及び該電極を有するリチウム二次電池
DE69531849T2 (de) 1994-05-30 2004-08-05 Canon K.K. Wiederaufladbare Lithiumbatterie
WO1996041906A1 (en) 1995-06-13 1996-12-27 Advanced Technology Materials, Inc. Bulk single crystal gallium nitride and method of making same
US5874747A (en) 1996-02-05 1999-02-23 Advanced Technology Materials, Inc. High brightness electroluminescent device emitting in the green to ultraviolet spectrum and method of making the same
JP3742144B2 (ja) 1996-05-08 2006-02-01 ソニー株式会社 非水電解液二次電池及び非水電解液二次電池用の平面状集電体
US5612567A (en) 1996-05-13 1997-03-18 North Carolina State University Schottky barrier rectifiers and methods of forming same
US5741724A (en) 1996-12-27 1998-04-21 Motorola Method of growing gallium nitride on a spinel substrate
JP3491492B2 (ja) 1997-04-09 2004-01-26 松下電器産業株式会社 窒化ガリウム結晶の製造方法
US5785606A (en) 1997-05-02 1998-07-28 Marquez; Ruben L. Method of playing multiple hand card game
US6239033B1 (en) 1998-05-28 2001-05-29 Sony Corporation Manufacturing method of semiconductor device
DE19723176C1 (de) 1997-06-03 1998-08-27 Daimler Benz Ag Leistungshalbleiter-Bauelement und Verfahren zu dessen Herstellung
JP2002511831A (ja) 1997-07-03 2002-04-16 シービーエル テクノロジーズ エピタキシャル蒸着により自立形基板を形成する熱的不整合の補償
JP3505357B2 (ja) 1997-07-16 2004-03-08 株式会社東芝 窒化ガリウム系半導体素子およびその製造方法
JP4453111B2 (ja) 1997-10-27 2010-04-21 三菱化学株式会社 負極材料とその製造方法、負極活物質、および非水系二次電池
JP3500281B2 (ja) 1997-11-05 2004-02-23 株式会社東芝 窒化ガリウム系半導体素子およびその製造方法
JP3036495B2 (ja) 1997-11-07 2000-04-24 豊田合成株式会社 窒化ガリウム系化合物半導体の製造方法
US6608327B1 (en) 1998-02-27 2003-08-19 North Carolina State University Gallium nitride semiconductor structure including laterally offset patterned layers
JP2948205B1 (ja) 1998-05-25 1999-09-13 花王株式会社 二次電池用負極の製造方法
JP2000150535A (ja) * 1998-11-09 2000-05-30 Fujitsu Quantum Device Kk 電界効果トランジスタとその製造方法
US6331450B1 (en) 1998-12-22 2001-12-18 Toyoda Gosei Co., Ltd. Method of manufacturing semiconductor device using group III nitride compound
US6389051B1 (en) 1999-04-09 2002-05-14 Xerox Corporation Structure and method for asymmetric waveguide nitride laser diode
JP4412827B2 (ja) 1999-08-20 2010-02-10 シャープ株式会社 窒化物半導体厚膜基板
EP1231653B1 (en) 1999-10-22 2010-12-08 Sanyo Electric Co., Ltd. Electrode for lithium cell and lithium secondary cell
US6685804B1 (en) 1999-10-22 2004-02-03 Sanyo Electric Co., Ltd. Method for fabricating electrode for rechargeable lithium battery
JP2002083594A (ja) 1999-10-22 2002-03-22 Sanyo Electric Co Ltd リチウム電池用電極並びにこれを用いたリチウム電池及びリチウム二次電池
US6184570B1 (en) 1999-10-28 2001-02-06 Ericsson Inc. Integrated circuit dies including thermal stress reducing grooves and microelectronic packages utilizing the same
WO2001043174A2 (en) 1999-12-13 2001-06-14 North Carolina State University Fabrication of gallium nitride layers on textured silicon substrates
US6380108B1 (en) 1999-12-21 2002-04-30 North Carolina State University Pendeoepitaxial methods of fabricating gallium nitride semiconductor layers on weak posts, and gallium nitride semiconductor structures fabricated thereby
US6573537B1 (en) 1999-12-22 2003-06-03 Lumileds Lighting, U.S., Llc Highly reflective ohmic contacts to III-nitride flip-chip LEDs
US6586781B2 (en) * 2000-02-04 2003-07-01 Cree Lighting Company Group III nitride based FETs and HEMTs with reduced trapping and method for producing the same
KR100348269B1 (ko) 2000-03-22 2002-08-09 엘지전자 주식회사 루데니움 산화물을 이용한 쇼트키 콘택 방법
US6521961B1 (en) * 2000-04-28 2003-02-18 Motorola, Inc. Semiconductor device using a barrier layer between the gate electrode and substrate and method therefor
JP2001357855A (ja) 2000-06-14 2001-12-26 Shin Kobe Electric Mach Co Ltd 非水電解液二次電池
US6344665B1 (en) 2000-06-23 2002-02-05 Arima Optoelectronics Corp. Electrode structure of compound semiconductor device
US20020015833A1 (en) 2000-06-29 2002-02-07 Naotomi Takahashi Manufacturing method of electrodeposited copper foil and electrodeposited copper foil
JP4022708B2 (ja) 2000-06-29 2007-12-19 日本電気株式会社 半導体装置
JP2003101036A (ja) 2001-09-25 2003-04-04 Sanyo Electric Co Ltd ショットキーバリアダイオードおよびその製造方法
JP2002305309A (ja) 2001-02-01 2002-10-18 Hitachi Ltd 半導体装置およびその製造方法
US6437374B1 (en) 2001-05-07 2002-08-20 Xerox Corporation Semiconductor device and method of forming a semiconductor device
TW492202B (en) 2001-06-05 2002-06-21 South Epitaxy Corp Structure of III-V light emitting diode (LED) arranged in flip chip configuration having structure for preventing electrostatic discharge
US20030015708A1 (en) 2001-07-23 2003-01-23 Primit Parikh Gallium nitride based diodes with low forward voltage and low reverse current operation
CA2454269C (en) * 2001-07-24 2015-07-07 Primit Parikh Insulating gate algan/gan hemt
US6524900B2 (en) 2001-07-25 2003-02-25 Abb Research, Ltd Method concerning a junction barrier Schottky diode, such a diode and use thereof
US20050179106A1 (en) 2001-07-27 2005-08-18 Sanyo Electric Company, Ltd. Schottky barrier diode
US6635559B2 (en) * 2001-09-06 2003-10-21 Spire Corporation Formation of insulating aluminum oxide in semiconductor substrates
JP4064085B2 (ja) 2001-10-18 2008-03-19 三菱電機株式会社 半導体装置及びその製造方法
US6768146B2 (en) 2001-11-27 2004-07-27 The Furukawa Electric Co., Ltd. III-V nitride semiconductor device, and protection element and power conversion apparatus using the same
US6855970B2 (en) 2002-03-25 2005-02-15 Kabushiki Kaisha Toshiba High-breakdown-voltage semiconductor device
US6624444B1 (en) 2002-03-28 2003-09-23 Intel Corporation Electrical-optical package with capacitor DC shunts and associated methods
US7323402B2 (en) 2002-07-11 2008-01-29 International Rectifier Corporation Trench Schottky barrier diode with differential oxide thickness
JP3790500B2 (ja) * 2002-07-16 2006-06-28 ユーディナデバイス株式会社 電界効果トランジスタ及びその製造方法
FR2844099B1 (fr) 2002-09-03 2005-09-02 Commissariat Energie Atomique Dispositif semiconducteur de puissance quasi-vertical sur substrat composite
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
JP4748498B2 (ja) 2002-12-05 2011-08-17 古河電気工業株式会社 電流遮断器付きGaN系半導体装置
US7026665B1 (en) * 2003-09-19 2006-04-11 Rf Micro Devices, Inc. High voltage GaN-based transistor structure
JP2005129696A (ja) * 2003-10-23 2005-05-19 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP4288592B2 (ja) 2004-01-20 2009-07-01 ソニー株式会社 電池
US7170111B2 (en) * 2004-02-05 2007-01-30 Cree, Inc. Nitride heterojunction transistors having charge-transfer induced energy barriers and methods of fabricating the same
US7253015B2 (en) 2004-02-17 2007-08-07 Velox Semiconductor Corporation Low doped layer for nitride-based semiconductor device
US7229866B2 (en) 2004-03-15 2007-06-12 Velox Semiconductor Corporation Non-activated guard ring for semiconductor devices
JP4398780B2 (ja) 2004-04-30 2010-01-13 古河電気工業株式会社 GaN系半導体装置
US7547928B2 (en) 2004-06-30 2009-06-16 Interuniversitair Microelektronica Centrum (Imec) AlGaN/GaN high electron mobility transistor devices
EP2273553B1 (en) 2004-06-30 2020-02-12 IMEC vzw A method for fabricating AlGaN/GaN HEMT devices
JP2006100645A (ja) 2004-09-30 2006-04-13 Furukawa Electric Co Ltd:The GaN系半導体集積回路
JP4609048B2 (ja) 2004-11-25 2011-01-12 ソニー株式会社 二次電池用負極および二次電池
US20060151868A1 (en) 2005-01-10 2006-07-13 Zhu Tinggang Package for gallium nitride semiconductor devices
US7429534B2 (en) * 2005-02-22 2008-09-30 Sensor Electronic Technology, Inc. Etching a nitride-based heterostructure
JP4912604B2 (ja) * 2005-03-30 2012-04-11 住友電工デバイス・イノベーション株式会社 窒化物半導体hemtおよびその製造方法。
US7615774B2 (en) * 2005-04-29 2009-11-10 Cree.Inc. Aluminum free group III-nitride based high electron mobility transistors
EP2312634B1 (en) * 2005-09-07 2019-12-25 Cree, Inc. Transistors with fluorine treatment
US7696598B2 (en) 2005-12-27 2010-04-13 Qspeed Semiconductor Inc. Ultrafast recovery diode
CN101361194B (zh) 2005-12-27 2010-12-22 美商科斯德半导体股份有限公司 用于快速恢复整流器结构的装置及方法
JP5231719B2 (ja) * 2006-03-30 2013-07-10 富士通株式会社 電界効果トランジスタの製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002359256A (ja) * 2001-05-31 2002-12-13 Fujitsu Ltd 電界効果型化合物半導体装置
WO2006022874A1 (en) * 2004-07-23 2006-03-02 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
WO2006057686A2 (en) * 2004-11-23 2006-06-01 Cree, Inc. Cap layers and/or passivation layers for nitride-based transistors, transistor structures and methods of fabricating same
JP2006165207A (ja) * 2004-12-07 2006-06-22 Fujitsu Ltd 化合物半導体装置およびその製造方法
JP2006222191A (ja) * 2005-02-09 2006-08-24 Nippon Telegr & Teleph Corp <Ntt> 半導体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009032713A (ja) * 2007-07-24 2009-02-12 National Institute Of Advanced Industrial & Technology GaNをチャネル層とする窒化物半導体トランジスタ及びその作製方法

Also Published As

Publication number Publication date
CN101689561B (zh) 2013-01-02
HK1142995A1 (en) 2010-12-17
WO2008116040A9 (en) 2009-10-22
US7939853B2 (en) 2011-05-10
CN101689561A (zh) 2010-03-31
KR20090128506A (ko) 2009-12-15
EP2450955A2 (en) 2012-05-09
US20080230785A1 (en) 2008-09-25
WO2008116040A1 (en) 2008-09-25
US20110215339A1 (en) 2011-09-08
EP2140493A4 (en) 2011-06-22
CN103094336A (zh) 2013-05-08
US20120238063A1 (en) 2012-09-20
US8169003B2 (en) 2012-05-01
EP2450955A3 (en) 2012-05-23
EP2140493A1 (en) 2010-01-06

Similar Documents

Publication Publication Date Title
US7939853B2 (en) Termination and contact structures for a high voltage GaN-based heterojunction transistor
JP5580602B2 (ja) デプレッションモードGaNベースFETを使用したカスコード回路
US11699748B2 (en) Normally-off HEMT transistor with selective generation of 2DEG channel, and manufacturing method thereof
JP2010522435A (ja) 高電圧GaNベースヘテロ接合トランジスタ構造およびそれを形成する方法
US10014402B1 (en) High electron mobility transistor (HEMT) device structure
US10868134B2 (en) Method of making transistor having metal diffusion barrier
US7968391B1 (en) High voltage GaN-based transistor structure
TWI429076B (zh) 二元第iii族-氮化物基高電子移動性電晶體及其製造方法
US20130240901A1 (en) Nitride semiconductor device
JP2008078526A (ja) 窒化物半導体装置及びその製造方法
JP2009246307A (ja) 半導体装置及びその製造方法
WO2024040465A1 (en) Nitride-based semiconductor device and method for manufacturing the same
JP5285252B2 (ja) 窒化物半導体装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120221

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20121102

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130626

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130628

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131204