JP2010507252A - 基板処理構成部品からの残留物の除去 - Google Patents

基板処理構成部品からの残留物の除去 Download PDF

Info

Publication number
JP2010507252A
JP2010507252A JP2009533334A JP2009533334A JP2010507252A JP 2010507252 A JP2010507252 A JP 2010507252A JP 2009533334 A JP2009533334 A JP 2009533334A JP 2009533334 A JP2009533334 A JP 2009533334A JP 2010507252 A JP2010507252 A JP 2010507252A
Authority
JP
Japan
Prior art keywords
residue
substrate processing
component
processing component
adhesive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009533334A
Other languages
English (en)
Japanese (ja)
Other versions
JP2010507252A5 (zh
Inventor
ブライアン, ティー. ウェスト,
カール ブルックナー,
シュン ウー,
ロバート ヘイニー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2010507252A publication Critical patent/JP2010507252A/ja
Publication of JP2010507252A5 publication Critical patent/JP2010507252A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Public Health (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
JP2009533334A 2006-10-19 2007-10-15 基板処理構成部品からの残留物の除去 Pending JP2010507252A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/551,114 US20080092806A1 (en) 2006-10-19 2006-10-19 Removing residues from substrate processing components
PCT/US2007/022005 WO2008051393A2 (en) 2006-10-19 2007-10-15 Removing residues from substrate processing components

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012124612A Division JP2012216849A (ja) 2006-10-19 2012-05-31 基板処理構成部品からの残留物の除去

Publications (2)

Publication Number Publication Date
JP2010507252A true JP2010507252A (ja) 2010-03-04
JP2010507252A5 JP2010507252A5 (zh) 2010-12-02

Family

ID=39217999

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2009533334A Pending JP2010507252A (ja) 2006-10-19 2007-10-15 基板処理構成部品からの残留物の除去
JP2012124612A Pending JP2012216849A (ja) 2006-10-19 2012-05-31 基板処理構成部品からの残留物の除去
JP2013255612A Active JP6261974B2 (ja) 2006-10-19 2013-12-11 基板処理構成部品からの残留物の除去

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2012124612A Pending JP2012216849A (ja) 2006-10-19 2012-05-31 基板処理構成部品からの残留物の除去
JP2013255612A Active JP6261974B2 (ja) 2006-10-19 2013-12-11 基板処理構成部品からの残留物の除去

Country Status (5)

Country Link
US (3) US20080092806A1 (zh)
JP (3) JP2010507252A (zh)
CN (2) CN102626698B (zh)
TW (1) TWI395263B (zh)
WO (1) WO2008051393A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014095140A (ja) * 2012-11-12 2014-05-22 Toray Eng Co Ltd 薄膜形成装置
JP2014513868A (ja) * 2011-03-14 2014-06-05 プラズマ − サーム、エルエルシー 半導体ウェーハをプラズマ・ダイシングする方法及び装置
WO2014104218A1 (ja) * 2012-12-28 2014-07-03 花王株式会社 電子部品が接合した回路基板の製造方法

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
KR20110039232A (ko) * 2008-07-04 2011-04-15 에이비비 리써치 리미티드 작업물을 정전기적으로 코팅하는 장치 및 그 장치의 오염을 감소시키는 방법
JP2010044030A (ja) * 2008-08-18 2010-02-25 Fujitsu Ltd レーザクリーニング装置およびレーザクリーニング方法
US8291565B2 (en) * 2008-10-10 2012-10-23 Lam Research Corporation Method of refurbishing bipolar electrostatic chuck
US9068266B2 (en) * 2008-12-31 2015-06-30 Stmicroelectronics, Inc. Door assembly for substrate processing chamber
DE102009044011A1 (de) * 2009-09-15 2011-03-24 Paul Hettich Gmbh & Co. Kg Verfahren zum Herstellen einer beschichteten Auszugsführung
US9435035B2 (en) 2010-01-15 2016-09-06 Byd Company Limited Metalized plastic articles and methods thereof
CN102071424B (zh) * 2010-02-26 2012-05-09 比亚迪股份有限公司 一种塑料制品的制备方法及一种塑料制品
US8852685B2 (en) 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
WO2011163302A1 (en) * 2010-06-23 2011-12-29 Seidel, Inc Process for selectively removing a coating layer
CN102071411B (zh) 2010-08-19 2012-05-30 比亚迪股份有限公司 一种塑料制品的制备方法及一种塑料制品
CN102009051B (zh) * 2010-10-15 2012-02-29 电子科技大学 溶胶-凝胶膜面激光清洗设备及其清洗方法
US20120118510A1 (en) * 2010-11-15 2012-05-17 Applied Materials, Inc. Method for debonding components in a chamber
CN103157621A (zh) * 2011-12-13 2013-06-19 贵州黎阳航空动力有限公司 清除密封剂的化学方法及用该方法配制的溶液
CN103170481A (zh) * 2011-12-26 2013-06-26 财团法人金属工业研究发展中心 放电加工模具表面清洁方法
SE536165C2 (sv) * 2012-01-05 2013-06-11 Bencar Ab System för styrning av miljö i en reaktionsbox
US9105676B2 (en) * 2012-09-21 2015-08-11 Lam Research Corporation Method of removing damaged epoxy from electrostatic chuck
US9161802B2 (en) * 2013-01-03 2015-10-20 Solta Medical, Inc. Patterned electrodes for tissue treatment systems
CN103996351B (zh) * 2013-02-20 2020-01-21 泰科消防及安全有限公司 粘合剂结合的物品保护标签
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
JP5760060B2 (ja) * 2013-09-27 2015-08-05 株式会社茨城技研 金属皮膜形成方法並びに金属皮膜形成製品の製造方法及び製造装置
US20150107618A1 (en) * 2013-10-21 2015-04-23 Applied Materials, Inc. Oxygen containing plasma cleaning to remove contamination from electronic device components
KR101623277B1 (ko) * 2013-11-28 2016-05-20 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치
US9333735B2 (en) 2014-04-03 2016-05-10 Globalfoundries Inc. Methods for operating a debonder
SG11201701411WA (en) 2014-09-16 2017-04-27 Acm Res Shanghai Inc Coater with automatic cleaning function and coater automatic cleaning method
WO2016095086A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Methods for texturing a chamber component and chamber components having a textured surface
US10522383B2 (en) 2015-03-25 2019-12-31 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
CN105149280B (zh) * 2015-07-01 2017-06-09 佛山市灿东模具技术有限公司 一种用于轮毂模具粘铝清洗的装置
US20170056935A1 (en) * 2015-08-28 2017-03-02 Applied Materials, Inc. Method for removing aluminum fluoride contamination from semiconductor processing equipment
US9999907B2 (en) 2016-04-01 2018-06-19 Applied Materials, Inc. Cleaning process that precipitates yttrium oxy-flouride
US10520805B2 (en) * 2016-07-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for localized EUV pellicle glue removal
US10016792B2 (en) 2016-10-14 2018-07-10 The Boeing Company Processing tool cleaning using laser ablation
US10662520B2 (en) 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
WO2019112042A1 (ja) * 2017-12-07 2019-06-13 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板処理方法を実行させるプログラムが記録された記憶媒体
KR20230121932A (ko) * 2018-03-22 2023-08-21 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스들의 제조에서 사용될 프로세싱 컴포넌트들의세라믹 표면들의 레이저 폴리싱
US10964527B2 (en) * 2018-06-21 2021-03-30 Applied Materials, Inc. Residual removal
WO2020023174A1 (en) 2018-07-23 2020-01-30 Applied Materials, Inc. Pre-conditioned chamber components
DE102018220677A1 (de) * 2018-11-30 2020-06-04 Siemens Aktiengesellschaft Vorrichtung zum Beschichten eines Bauelements sowie Reinigungseinrichtung und Verfahren zum Reinigen einer Beschichtungseinrichtung zum Beschichten wenigstens eines Bauelements
EP3954023A1 (en) * 2019-04-10 2022-02-16 Pierburg Pump Technology GmbH Automotive auxiliary unit with an electric motor
WO2021039838A1 (ja) * 2019-08-28 2021-03-04 株式会社新菱 ガス孔をもつ半導体製造装置部品の洗浄方法
WO2021220539A1 (ja) * 2020-04-30 2021-11-04 株式会社村田製作所 洗浄装置、洗浄装置を備える撮像ユニット、および洗浄方法
CN112044863A (zh) * 2020-08-19 2020-12-08 侯强强 一种用于汽车线束组装pcb板的快速除尘设备
US11430641B1 (en) * 2021-07-02 2022-08-30 Applied Materials, Inc. Processing systems and methods to control process drift
US12000039B1 (en) * 2023-10-13 2024-06-04 Michael Walach Cleaning anti-reflective coating process chamber parts

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006222466A (ja) * 2006-05-24 2006-08-24 Dainippon Screen Mfg Co Ltd 基板洗浄装置および基板洗浄方法

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3839234A (en) * 1973-01-26 1974-10-01 C Roscoe Multi-purpose cleaning concentrate
US4076883A (en) * 1975-07-30 1978-02-28 Metco, Inc. Flame-sprayable flexible wires
JPS5948872B2 (ja) * 1978-02-20 1984-11-29 クロリンエンジニアズ株式会社 電解用陰極及びその製造法
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4753844A (en) * 1986-12-04 1988-06-28 Airwick Industries Inc. Disposable semi-moist wipes
US4886728A (en) * 1988-01-06 1989-12-12 Olin Hunt Specialty Products Inc. Use of particular mixtures of ethyl lactate and methyl ethyl ketone to remove undesirable peripheral material (e.g. edge beads) from photoresist-coated substrates
JPH01184457A (ja) * 1988-01-18 1989-07-24 Ngk Insulators Ltd 酸素センサ素子
US5643472A (en) * 1988-07-08 1997-07-01 Cauldron Limited Partnership Selective removal of material by irradiation
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
AU3323193A (en) * 1991-12-24 1993-07-28 Detroit Diesel Corporation Thermal barrier coating and method of depositing the same on combustion chamber component surfaces
US5376223A (en) * 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
JP3147137B2 (ja) * 1993-05-14 2001-03-19 セイコーエプソン株式会社 表面処理方法及びその装置、半導体装置の製造方法及びその装置、並びに液晶ディスプレイの製造方法
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3106040B2 (ja) * 1993-07-13 2000-11-06 理化学研究所 基板表面のドライ・クリーニング・システム
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
WO1996020435A1 (fr) * 1994-12-28 1996-07-04 Shinozaki Manufacturing Co., Ltd. Procede et appareil de traitement de rouleaux et autres par faisceau laser
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
JPH08211592A (ja) * 1995-02-07 1996-08-20 Nikon Corp 洗浄乾燥方法及び洗浄乾燥装置
JP2984783B2 (ja) * 1995-03-13 1999-11-29 株式会社住友シチックス尼崎 スパッタリング用チタンターゲットおよびその製造方法
FR2733248B1 (fr) * 1995-04-20 1997-06-13 Atochem Elf Sa Composition de nettoyage a froid a base d'alcanes ou de cycloalcanes et d'un compose organique comprenant une fonction oxygenee
TW284907B (en) * 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
US5723219A (en) * 1995-12-19 1998-03-03 Talison Research Plasma deposited film networks
JPH09232465A (ja) * 1996-02-27 1997-09-05 Fuji Kiko Denshi Kk 半導体実装用プリント配線板
US5928527A (en) * 1996-04-15 1999-07-27 The Boeing Company Surface modification using an atmospheric pressure glow discharge plasma source
US5914018A (en) * 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US5892417A (en) * 1996-12-27 1999-04-06 Motorola Inc. Saw device package and method
US5966635A (en) * 1997-01-31 1999-10-12 Motorola, Inc. Method for reducing particles on a substrate using chuck cleaning
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US5798323A (en) * 1997-05-05 1998-08-25 Olin Microelectronic Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5993621A (en) * 1997-07-11 1999-11-30 Johnson Matthey Electronics, Inc. Titanium sputtering target
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6060439A (en) * 1997-09-29 2000-05-09 Kyzen Corporation Cleaning compositions and methods for cleaning resin and polymeric materials used in manufacture
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5861047A (en) * 1997-09-29 1999-01-19 Lucent Technologies Inc. Method for manufacturing an article comprising a refractory dielectric body
US5953827A (en) * 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6406759B1 (en) * 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
JPH11297805A (ja) * 1998-04-13 1999-10-29 Tomoegawa Paper Co Ltd 静電チャック装置、静電チャック用積層シート、および静電チャック用接着剤
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
JP2001076569A (ja) * 1999-09-07 2001-03-23 Fujikura Ltd メンブレン回路の製造方法
JP2001149877A (ja) * 1999-11-29 2001-06-05 Japan Steel Works Ltd:The 処理装置内のクリーニング方法及び装置
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US6607605B2 (en) * 2000-08-31 2003-08-19 Chemtrace Corporation Cleaning of semiconductor process equipment chamber parts using organic solvents
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6635118B2 (en) * 2001-01-17 2003-10-21 International Business Machines Corporation Aqueous cleaning of polymer apply equipment
JP2002301439A (ja) * 2001-04-03 2002-10-15 Daisuke Hirao 携行型レーザークリーニング装置
US6811615B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
JP2003031953A (ja) * 2001-07-13 2003-01-31 Canon Inc ビルドアップ基板の製造方法
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6436198B1 (en) * 2001-10-01 2002-08-20 Robert F. Swain Method and apparatus for removing polymeric coatings from optical fiber
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
JP4284911B2 (ja) * 2002-01-09 2009-06-24 ソニー株式会社 素子の転写方法
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US20030159941A1 (en) * 2002-02-11 2003-08-28 Applied Materials, Inc. Additives for electroplating solution
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
WO2003101762A1 (en) * 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
EP1371700A3 (en) * 2002-06-14 2004-01-21 Rohm And Haas Company Curable fluids for forming coatings and adhesives
JP4245868B2 (ja) * 2002-07-19 2009-04-02 東京エレクトロン株式会社 基板載置部材の再利用方法、基板載置部材および基板処理装置
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP2004193237A (ja) * 2002-12-10 2004-07-08 Disco Abrasive Syst Ltd 粘着シートを具備するウェハー保持部材,及び粘着シートの剥離方法
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US6969452B2 (en) * 2003-02-28 2005-11-29 Combisep, Inc. Two-dimensional protein separations using chromatofocusing and multiplexed capillary gel electrophoresis
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US20040225055A1 (en) * 2003-05-06 2004-11-11 Hans Haas Cleaning process involving a dissolvable polymer coating
US7045020B2 (en) * 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
TWI342582B (en) * 2003-07-17 2011-05-21 Applied Materials Inc Method of surface texturizing
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7264679B2 (en) * 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
WO2005087974A2 (en) * 2004-03-05 2005-09-22 Applied Materials, Inc. Cvd processes for the deposition of amorphous carbon films
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
EP1778416A1 (en) * 2004-06-16 2007-05-02 PPG Industries Ohio, Inc. Methods for removal of polymeric coating layers from coated substrates
US7214600B2 (en) * 2004-06-25 2007-05-08 Applied Materials, Inc. Method to improve transmittance of an encapsulating film
US7220687B2 (en) * 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
KR101279914B1 (ko) * 2004-06-25 2013-07-01 어플라이드 머티어리얼스, 인코포레이티드 밀봉 필름의 차수 성능 개선 방법 및 장치
US7655316B2 (en) * 2004-07-09 2010-02-02 Applied Materials, Inc. Cleaning of a substrate support
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7736599B2 (en) * 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
US7468227B2 (en) * 2004-11-16 2008-12-23 Applied Materials, Inc. Method of reducing the average process bias during production of a reticle
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
WO2006090650A1 (ja) * 2005-02-23 2006-08-31 Jsr Corporation ウェハ加工方法
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7776516B2 (en) * 2006-07-18 2010-08-17 Applied Materials, Inc. Graded ARC for high NA and immersion lithography
US7588990B2 (en) * 2006-08-31 2009-09-15 Applied Materials, Inc. Dynamic surface annealing of implanted dopants with low temperature HDPCVD process for depositing a high extinction coefficient optical absorber layer
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US20090250108A1 (en) * 2008-04-02 2009-10-08 Applied Materials, Inc. Silicon carbide for crystalline silicon solar cell surface passivation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006222466A (ja) * 2006-05-24 2006-08-24 Dainippon Screen Mfg Co Ltd 基板洗浄装置および基板洗浄方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014513868A (ja) * 2011-03-14 2014-06-05 プラズマ − サーム、エルエルシー 半導体ウェーハをプラズマ・ダイシングする方法及び装置
JP2014095140A (ja) * 2012-11-12 2014-05-22 Toray Eng Co Ltd 薄膜形成装置
WO2014104218A1 (ja) * 2012-12-28 2014-07-03 花王株式会社 電子部品が接合した回路基板の製造方法

Also Published As

Publication number Publication date
CN102626698B (zh) 2018-08-21
CN102626698A (zh) 2012-08-08
US20080092806A1 (en) 2008-04-24
US20120107520A1 (en) 2012-05-03
JP2014099619A (ja) 2014-05-29
CN101528368A (zh) 2009-09-09
US20140076354A1 (en) 2014-03-20
JP2012216849A (ja) 2012-11-08
WO2008051393A2 (en) 2008-05-02
TW200919562A (en) 2009-05-01
WO2008051393A3 (en) 2008-11-06
JP6261974B2 (ja) 2018-01-17
TWI395263B (zh) 2013-05-01

Similar Documents

Publication Publication Date Title
JP6261974B2 (ja) 基板処理構成部品からの残留物の除去
JP5390846B2 (ja) プラズマエッチング装置及びプラズマクリーニング方法
TWI270934B (en) Laser drilled surfaces for substrate processing chambers
KR100899965B1 (ko) 저오염의 플라즈마 챔버 부품 및 그 제조방법
JP5364514B2 (ja) チャンバ内クリーニング方法
US7993470B2 (en) Fabricating and cleaning chamber components having textured surfaces
TWI466170B (zh) 用於光阻劑剝離室之鋁裸板
TWI752925B (zh) 表面塗佈處理
JPH1070112A (ja) 半導体処理チャンバ表面を洗浄する装置及び方法
JPH0963794A (ja) マイクロ波プラズマ処理装置
JP7366045B2 (ja) 半導体デバイスの製造に使用される加工構成要素のセラミック表面のレーザ研磨
KR19990077237A (ko) 플라즈마 처리장치의 세정방법 및 플라즈마 처리방법
TWI342582B (en) Method of surface texturizing
JP4677612B2 (ja) 炭素材料が被着した被処理物の清浄方法
JP4890668B2 (ja) 半導体熱処理用反応装置の石英ガラス製蓋体およびその製造方法
KR20240046578A (ko) 처리된 세라믹 챔버 부품들 (parts)
KR20220020467A (ko) 부품 세정 장치 및 부품 세정 방법
JP2023551725A (ja) 静電チャック用の改善されたプラズマ耐性コーティング

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101012

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101012

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101108

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111201

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120308

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120402

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120409

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20120531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120531

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120906