TWI395263B - 自基板處理部件移除殘餘物之方法 - Google Patents

自基板處理部件移除殘餘物之方法 Download PDF

Info

Publication number
TWI395263B
TWI395263B TW096139557A TW96139557A TWI395263B TW I395263 B TWI395263 B TW I395263B TW 096139557 A TW096139557 A TW 096139557A TW 96139557 A TW96139557 A TW 96139557A TW I395263 B TWI395263 B TW I395263B
Authority
TW
Taiwan
Prior art keywords
residue
substrate processing
laser
adhesive
component
Prior art date
Application number
TW096139557A
Other languages
English (en)
Other versions
TW200919562A (en
Inventor
Brian T West
Karl Brueckner
Shun Wu
Robert Haney
Original Assignee
Quantum Global Tech Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Quantum Global Tech Llc filed Critical Quantum Global Tech Llc
Publication of TW200919562A publication Critical patent/TW200919562A/zh
Application granted granted Critical
Publication of TWI395263B publication Critical patent/TWI395263B/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • B08B1/10Cleaning by methods involving the use of tools characterised by the type of cleaning tool
    • B08B1/14Wipes; Absorbent members, e.g. swabs or sponges
    • B08B1/143Wipes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B1/00Cleaning by methods involving the use of tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4407Cleaning of reactor or reactor parts by using wet or mechanical methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Cleaning By Liquid Or Steam (AREA)

Description

自基板處理部件移除殘餘物之方法
本發明之實施例係關於自基材處理部件之表面清潔殘餘物。
在處理週期之間,必須週期性地清潔暴露於處理基材之處理環境中的基材處理腔室部件表面。基材處理期間,將基材置於處理腔室中並暴露於一激發氣體下,以沉積或蝕刻基材上之材料。沉積在部件表面上的處理殘餘物包括將於化學氣相沉積(CVD)或電漿氣相沉積(PVD)處理中沉積之材料、經蝕刻之材料、或甚至為蝕刻處理中移除之聚合物光阻。在接下來的處理週期中,累積之殘餘物會自部件表面成片剝落並落在基材或腔室內部上且污染之。因此,利用清潔處理週期性地清潔部件表面,該清潔處理包括噴砂法(grit blasting)、搭配溶劑或研磨料的擦洗、以及二氧化碳(CO2 )噴洗法。然而,傳統的清潔方法通常無法完全地清潔部件表面,這會造成部件表面的腐蝕或在部件表面上留下一有機清潔沉積物的薄層。
處理殘餘物之清潔亦可能具有取決於部件表面之組成以及其上覆蓋之殘餘物的獨特問題。例如,某些部件表面容易受到傳統清潔溶劑的傷害。例如,以聚合物密封劑密封的陶質部件(諸如,靜電塊、碳化矽部件與鋁質腔室壁)係難以清潔的。有機溶劑(諸如,丙酮與異丙醇)會分解、氧化或與這些塗層進行其他化學反應。以含碳聚合殘餘物塗覆之聚合物塗覆表面係特別難以清潔,因為能夠部分地分解聚合殘餘物的清潔溶劑亦可分解下層聚合物密封劑。
亦難以自部件(例如,腔室壁)清潔包括碳沉積物或氟化鋁之處理殘餘物。噴砂處理腔室壁不僅會剝下碳殘餘物並且會刮傷或侵蝕陶質材料的表面。亦特別難以移除沉積在腔室壁上含有密集之氟化鋁膜的殘餘物,因為氟化鋁可耐大部分的化學清除劑。目前,利用HF/HNO3 混合物來蝕刻氟化鋁;然而,該酸性混合物亦常常蝕刻下層陶質材料。當以一電鍍氧化鋁薄層塗覆部件時,該電鍍層亦會受到擦傷或蝕刻。
當自用於化學氣相沉積(chemical vapor deposition,CVD)、電漿氣相沉積(plasma vapor deposition,PVD)與蝕刻腔室的部件上清除黏性聚合殘餘物時又會產生另一問題。針對介電質與多晶矽(poly-silicon)蝕刻應用而言,必須在鎔爐中加熱部件長達數小時以燃盡(burn off)有機殘餘物,這個過程相當耗時。針對金屬化學氣相沉積與電漿氣相沉積室而言,目前的清潔方法係利用PIRANHA化學作用(NH4 OH/H2 O2 )來移除處理殘餘物。上述之化學作用在清潔溶液中使用有毒與危險的材料。亦可使用噴砂法,但這會造成從部件材料上移除至少一部分的薄層或在部件上留下砂粒沉積物。針對化學氣相沉積介電室而言,首先藉由噴砂法移除陶質腔室部件上的碳殘餘物,接著以HF/HNO3 混合物蝕刻上方覆蓋之AlF3 沉積物,這兩者皆可對下層部件造成侵蝕。
亦難以清潔含有黏著劑的殘餘物,該黏著劑在製造或再利用過程中暴露於部件表面上。例如,可藉由將一包圍電極板之聚亞醯胺層黏至帶有丙烯酸酯黏著劑(acrylic adhesive)的金屬底座來製造靜電塊。加熱器亦具有藉由黏著劑結合至其表面之聚亞醯胺與其他絕緣板。在製造或再利用中,當移除塊件或加熱器之表層時,必須剝下殘留在下層底座上之黏著劑殘餘物;否則該殘餘物在基材處理過程中會產生燃盡(burned-off)之碳污染物。利用丙酮與擦拭件之傳統清潔方法常常留下黏著劑或清潔殘餘物,這些會負面地影響再磨光部分的性能。雖然可藉由利用研磨墊(例如,Scotch-BriteTM ,3M Company)提高清潔效果,但這亦會造成部件表面漆料的腐蝕。
清潔部件之刻紋表面(textured surface)的殘餘物時又產生另一問題。例如,化學機械研磨(chemical mechanical polishing,CMP)系統中,金屬的基材固持環具有以環氧層(epoxy layer)與非金屬耐磨層覆蓋的刻紋表面。為了再利用該部件,必須切掉非金屬耐磨層與環氧層而不過度侵蝕下層金屬。然而,因為該金屬具有一刻紋表面,通常亦將一部分的刻紋表面切下以得到乾淨的金屬表面,因此減少該金屬部分的厚度並連累到其結構的完整性。亦難以清潔具有雷射形成凹處圖案之部件表面的殘餘物(例如,Wang等人所有的美國專利公開案編號2003-0188685,在此將其全文以參考資料併入本文中),因為殘餘物會聚集在凹處中。
因此,樂見有效地自部件之表面清除殘餘物而不會留下清潔處理中產生之其他殘餘物。更樂見可大致上移除聚合物殘餘物而不傷害以聚合物塗層覆蓋之部件表面。亦樂見清潔刻紋金屬或陶質部件表面而不過度腐蝕。更樂見清除黏著劑殘餘物而不傷害或腐蝕該部件。亦樂見原位清潔部件表面而不用拆開腔室。
本發明提出自基材處理部件之表面清潔殘餘物的較好方法。一態樣中,提出一清潔方法以清潔一基材處理部件,該基材處理部件包括一具有聚合物塗層與形成於該聚合物塗層上之殘餘物的表面。該方法包括以一有機溶劑接觸該基材處理部件之表面上的聚合物塗層,且以該有機溶劑移除該殘餘物而不移除該聚合物塗層。
另一態樣中,提出一種翻新(refurbishing)基材處理部件(其包含有以一黏著劑附著於一下層陶質結構之一聚合物層)的方法。該方法包括自該陶質結構移除該聚合物層,因此在該陶質結構上留下殘餘黏著劑;以一有機溶劑接觸該殘餘黏著劑而自該下層陶質結構移除該殘餘黏著劑;以及重新將該聚合物層置於該陶質結構上。
進一步的態樣中,一種翻新一包含有一黏著劑殘餘物(其位在一覆蓋一下層金屬結構之聚合物層上方)之表面的基材處理部件的方法,包括以一能量密度程度高到足以燒蝕(ablate)黏著劑殘餘物的雷射光束掃描該基材處理部件之整個表面;以及在該金屬結構上形成一新的聚合物層。
又另一態樣中,一種清潔一基材處理部件之方法包括:以一電漿流接觸該基材處理部件具有殘餘物之一表面,以及在一高到足以使殘餘物蒸發的溫度下以該電漿流掃描該基材處理部件之整個表面。
可自基材處理設備302卸除一基材處理部件以便清潔或直接在設備302中清潔該部件。清潔處理具有不同實施例,而這取決於部件類型以及殘留在部件表面上之殘餘物性質。可分別或彼此搭配應用這些清潔方法的各者,因此以特定清潔方法清潔特定部件的示範性描述不應用來限制本發明為所列舉之組合。舉例來說,該殘餘物可包括形成於基材304處理過程中之處理殘餘物361,諸如蝕刻、化學氣相沉積或電漿氣相沉積處理殘餘物361;黏著劑或塗層殘餘物361,在剝除或移除處理後殘留在基材上;或其他類型的殘餘物361。
一種形式中,該清潔方法係用來清潔以聚合物塗層塗覆之基材處理部件的表面,包括諸如腔室壁312的內部表面、靜電塊370之暴露表面、基材304周圍的沉積環或其他環件以及氣體分散板600或噴嘴(未顯示)等部件。這些暴露的部件表面係暴露在用以處理腔室306中之基材304的激發氣體環境中。藉由以可軟化並分解聚合物塗覆表面上之殘餘物361的有機溶劑或溶劑混合物接觸部件表面來清潔該部件表面。舉例來說,自部件表面移除的殘餘物361可以為處理沉積物,其形成於腔室306中先前執行的基材處理過程中。用於此方法的有機溶劑可為下列化合物之一或多者:四氫呋喃(tetrahydrofuran,THF);N-甲基吡咯啶酮(N-methyl pyrrolidone,NMP);甲乙酮(methyl ethyl ketone,MEK);環己酮(cyclohexanone);甲苯(toluene);羥胺(hydroxylamine);乙醇胺(ethanol amine);以及2-乙氧基乙醇胺(2-ethoxy ethanol amine)。可分別或以混合物形式利用這些溶劑。自基材處理部件表面移除經軟化或分解之殘餘物361而不移除或過度分解聚合物塗層。再者,以有機溶劑移除黏著劑殘餘物361而不腐蝕或以其他方式傷害基材處理部件。
一般而言,此殘餘物移除方法係有利的,因為可以達到移除與剝下殘餘物的程度,特別是本質為聚合物的殘餘物361。由於這些溶劑作用相當快速且可僅花數分鐘便自腔室306內部表面原位移除殘餘物361而產生近一步的優點。此外,已經發現這些溶劑可選擇性地分解聚合物殘餘物而不會負面地影響部件表面上的聚合物塗層,例如聚合物密封劑(包括甲基丙烯酸酯)。
經選擇的溶劑亦具有針對不同應用的特定優點。例如,四氫呋喃(THF)特別有利於自具有氯化(chlorinated)之碳表面清潔殘餘物361。舉例而言,當氯化氣體(諸如,Cl2 與CCl4 )用於蝕刻室時,這些類型的殘餘物361會形成於部件表面上。因為四氫呋喃侵略性地與殘餘物361反應使得四氫呋喃與聚合物的殘餘物之反應時間相當短暫。然而,四氫呋喃較像是表面反應劑而不是深層穿透反應劑。
作為另一實例,N-甲基吡咯啶酮(NMP)特別有利於自部件表面清潔厚的殘餘物層。由於相對較長的使用時間或處理腔室306的處理週期提高而在腔室表面上形成厚的殘餘物層。N-甲基吡咯啶酮溶劑可有利地穿透聚合物殘餘物表面下方(這部分地起因於N-甲基吡咯啶酮較低的氣相壓力)並藉由N-甲基吡咯啶酮可穿透殘餘物361表面下方的能力而移除殘餘物361。雖然N-甲基吡咯啶酮相對於本發明其他溶劑(持別是四氫呋喃)而言較具穿透力,但N-甲基吡咯啶酮移除殘餘物361的侵略性並不如四氫呋喃。本發明所用之有機溶劑在移除殘餘物361方面,比起丙酮係相對更有效率。
藉由一聚合物塗層(作為密封劑)密封基材處理部件。舉例而言,部件可以為鋁質腔室壁或基材支撐件310。部件亦可為複合式結構,例如以鎳鍍覆或電鍍之鋁;或甚至為一陶質材料,諸如氧化鋁、氮化鋁或碳化矽。一形式中,基材處理部件包含一基材處理腔室壁312,該腔室壁為以下列塗覆之一鋁質結構:(i)鎳鍍層、(ii)電鍍鋁、(iii)碳化矽以及(iv)聚合物密封劑。可應用在部件之暴露表面的適當聚合物密封劑包括甲基丙烯酸酯。舉例而言,一形式可以為一部件包含具有一電鍍層與甲基丙烯酸酯層之頂層的鋁質底座。甲基丙烯酸酯密封劑塗層覆蓋部件表面的外面暴露部分。可作為依據本發明之聚合物密封劑的可聚合液體材料之較佳配方包括約90-99 wt %的可聚合單體或單體之組合、約0.1-10 wt %(較佳為約2-6 wt %)的聚合物起始劑(initiator)以及約0-10 wt %(較佳為約0.1-4 wt %)的催化劑或催化劑之組合,所有的百分比係基於該可聚合材料之非揮發性成份的總重而得,舉例而言,如Collins等人申請之美國專利號5,792,562中所述般,在此將其全文以參考資料併入本文中。較佳的單體包括聚乙二醇(具有每個聚合物平均約9個重複乙氧基單元的產物)之丙烯酸酯與二甲基丙烯酸酯,以及四甘醇二甲基丙烯酸酯(tetraethylene glycol dimethacrylate)以及甲基丙烯酸羥乙酯(hydroxyethyl methacrylate),例如約70-90 wt %的四甘醇二甲基丙烯酸酯以及約10-30 wt %的甲基丙烯酸羥乙酯。較佳的催化劑組合包括糖精(saccharin)、N,N-二甲基-對甲苯胺(N,N-dimethyl-p-toluidene)與/或四氫喹啉(tetrahydroquinoline)之混合物,例如約1-3 wt %的糖精與約0.1-1 wt %的N,N-二甲基甲苯胺。
亦適合作為部件表面密封劑的特定密封劑配方包括Loctite 290TM 與990TM 黏性密封劑(可商業上購自Loctite Corporation,Newington,Conn.)以及Perma-Lok HL 126TM (可商業上購自Permabond International Corporation,Englewood,N.J.)。可依照本發明而應用的其他密封劑配方描述於Catena申請的美國專利編號5,256,450,在此將其全文以參考資料併入本文中。
可藉由對部件之表面(例如,處理腔室壁312的內部表面)應用吸收溶劑之吸收件(absorbent)而原位清潔部件表面而不須拆解腔室306。藉由以吸收溶劑之吸收件擦拭部件腔室壁312之表面而完成殘餘物361之移除。可藉由吸收件之方式對該表面多次應用溶劑直到大致上移除殘餘物361為止。在已經應用有機溶劑後,可進一步以乾淨、乾燥的擦拭件擦拭該表面以進一步移除殘餘物361。吸收件搭配溶劑之應用可軟化、分解並移除殘餘物361。
適當的吸收件包括符合無塵室(clean room)標準的擦拭件、塗抹器、海綿以及毛巾。以諸如微粒散發程度、離子性污染物程度、吸收性以及對磨損或暴露於清潔材料之剝蝕抵抗性等特性選擇無塵室產品。吸收件、擦拭件、塗抹器、海綿或毛巾可經過挑選以避免與上述特性有關之微污染。微粒與污染物(即便是最小的微粒與污染物)通常比微電子元件中之圖形尺寸(feature size)大上許多倍。因此,挑選符合無塵室標準之一適當吸收件好減少微粒污染。適當之吸收件可由紡織與非紡織材料所構成,例如熔紡聚烯基材(meltspun polyolefin substrate),其具有符合無塵室標準的性質。
吸收件(例如,擦拭件)亦可預先包裝於大致上密閉之袋(具有複數個擦拭件)中。密閉容器可避免擦拭件在搬運與儲存過程中乾燥或吸收灰塵以減少擦拭件的污染。亦樂見擦拭件之儲存袋、容器或桶件對使用的有機溶劑為惰性的。一形式中,可加熱含有擦拭件的儲存袋或擦拭件本身到稍微高於室溫且低於溶劑點燃溫度(ignition temperature)的溫度下,以加速聚合物沉積物與擦拭件的有機溶劑之間的反應。預先包裝之擦拭件可提供氣密式包裝以減少擦拭件的污染。
另一實施例中,該方法利用一噴霧塗抹器以有機溶劑接觸部件表面之殘餘物,接著以一無污染物之吸收件擦拭部件表面。噴霧塗抹器藉由推進器或泵浦之方式通過噴嘴來分配溶劑以將有機溶劑噴灑在部件表面上。接著使用吸收性擦拭件來擦拭部件表面以將有機溶劑散佈在部件表面上並移除經軟化或分解之殘餘物361。樂見由對有機溶劑為惰性之一或多種材料製成噴霧塗抹器,以用來避免有機溶劑與處理腔室306之污染。
另一實施例中,將基材處理部件表面浸在水缸內的有機溶劑中。該水缸容納於水槽中,該水槽使用一再循環泵浦以及視情況而定之過濾系統好自水缸移除殘餘物361。舉例而言,亦可藉由超音波震動或附加在水槽一壁(例如,底壁)上之超音波震動器所提供之能量攪動水槽內之溶劑。亦可使用其他攪拌方法(包括機械式螺旋攪拌法)來攪拌水缸中的溶劑。對於非常密集或難以清潔之殘餘物361來說,水槽方法係較佳的方法,因為其給予溶劑時間與殘餘物361進行化學反應並移除之,且亦允許溶劑浸入部件表面之微小特徵。可在自腔室306中移除基材304之前或之後或是可利用一種組合方法清潔腔室壁312部件,該組合方法包括偶而以擦拭件或溶劑噴霧清潔腔室306本身以及偶而在水槽中清潔好移除難以清除之殘餘物361。
再者,可用有機溶劑接觸部件表面並以有機溶劑移除殘餘物361而不移除或負面地影響敏感性塗層(諸如,聚合物與陶質塗層)。此外,有機溶劑可用相對快速之方式分解、進行反應與/或軟化殘餘物361。例如,可自例如聚合物密封劑(例如,甲基丙烯酸酯)之聚合物塗層移除殘餘物361,而該有機溶劑可為四氫呋喃(THF)、N-甲基吡咯啶酮(NMP)、甲乙酮(MEK)、環己酮、甲苯、羥胺、乙醇胺以及2-乙氧基乙醇胺或上述之混合物。可用吸收件基材、噴霧塗抹器或吸收件基材與噴霧塗抹器兩者之組合來施加有機溶劑。可超過一次或格外地用有機溶劑接觸部件表面。再者,本方法可作為單獨存在之方法或搭配其他先前技術之方法做為一處理前步驟或數個步驟。
上述之清潔處理可用來清潔基材處理設備302的任何部件,第1圖概略描述該基材處理設備的示範性形式,其適以蝕刻基材304(例如,半導體晶圓)。設備302包括例如處理腔室306(係由控制器300所操作)之部件。腔室306包括更多的部件,例如通常由金屬或陶質材料所構成之壁312,包括側壁314、底壁316與頂件(ceiling)318,可經清除以移除基材304處理過程時產生之殘餘物361而不移除聚合物密封劑360。操作中,氣體供應器338提供處理氣體給腔室306。氣體供應器338連接於具有一或多個流量控制閥334之氣體導管336。導管336結束於腔室306中的一或多個氣體入口342。使用過之處理氣體與蝕刻副產物透過排出器344而排出,該排出器包括一泵吸通道346,以接收使用過之處理氣體;一節流閥350,以控制腔室306中處理氣體的壓力;以及一或多個排出泵浦352。排出器344亦可包含去除系統(abatement system)(未顯示)以自排出器去除不欲之氣體。
可藉由另一腔室部件(即,氣體激發器354)能量化提供給腔室306之處理氣體以處理基材304,該氣體激發器耦接能量至腔室306之處理區308中的處理氣體(如示)或腔室306上游遠端區中的處理氣體(未顯示)。一形式中,氣體激發器354包括天線356,該天線包括圍繞腔室306中心成環形對稱之一或多個誘導線圈358。當將天線356置於鄰近腔室306之頂件318處時,頂件之鄰接部分係由一介電材料(例如,二氧化矽)所製成,該介電材料為射頻(RF)或電磁場可穿透的。舉例來說,天線電源355提供一般約50 KHz至60 MHz(更通常為約13.56 MHz)之頻率且功率強度約100至5000 Watt的射頻功率給天線356。亦可提供射頻匹配網路(未顯示)。該氣體激發器354可選擇性或附加性包括微波或「上游」氣體激發器(未顯示)。
一形式中,氣體激發器354可再或選擇性包括額外的處理部件,例如電極313、378,其可用來能量化處理氣體。一般而言,處理電極313、378包括位於腔室306之側壁314或頂件318內的一電極313,其電容式耦接於另一電極,例如基材304下方之支撐件310中的電極378。當頂件部件318亦作為一電極時,該頂件318可包括一介電材料作為感應場-傳送窗(induction field-transmitting window)303,其可對頂件318上方之天線356所傳送之射頻感應場提供較低的阻抗。可應用之適當介電材料包括諸如氧化鋁或二氧化矽之材料。一般而言,可藉由電極電壓供應器(未顯示)使處理電極313、378彼此呈現電性偏壓,該電極電壓供應器包括一AC電壓供應器以提供射頻偏壓(RF bias voltage)。射頻偏壓可包括約50 kHz至60 MHz的頻率且該射頻偏壓電流(RF bias current)的功率程度通常係約50至3000 Watts。
操作中,另一腔室部件,即基材傳送件311,例如機械臂(未顯示),可將基材304傳送至腔室306中之基材支撐件310上。基材304通常係由舉升銷(lift pin)部件(未顯示)所接收,該舉升銷自基材支撐件310延伸出以接收基材304並縮回基材支撐件310以將基材304置於支撐件310上。基材支撐件310可包括一靜電塊370,該靜電塊包括一至少部分地覆蓋電極378之靜電主體374,且該靜電塊包括一基材接收表面380。電極378亦可作為上述處理電極之一者。電極378能夠產生靜電電荷以靜電方式固持基材304至支撐件310或靜電塊370。電源382提供靜電塊之電壓給電極378。
設備302更包括一或多個偵測器部件309,其適以偵測該輻射射出物之一或多個波長的強度並產生與該偵測強度有關之一或多個訊號。適當的偵測器309包括一感應器301,諸如光電倍增管(photomultiplier tube)、分光光度計、電荷耦合器(charge coupled device)或光電二極管。偵測器309通常係經定位以偵測穿越形成於腔室306之壁312中的窗303之輻射,所欲之波長的輻射可穿透該窗。偵測器309偵測輻射射出物之波長的強度以控制腔室處理或處理條件。
清潔處理的另一形式中,自基材處理部件表面(由腔室306移除)清潔殘餘物361,且視情況而定在清潔處理後翻新該表面。舉例而言,將被清潔與翻新之部件可為靜電塊370。如第2圖中所示,該靜電塊370可包括以黏著劑(例如,丙烯酸類黏著劑)結合至金屬主體(未顯示)之一上層105。層105可為部分導電、導電或絕緣之聚醯胺;或為部分導電、導電或絕緣帶(得自ChomericTM ),其可藉由一物理處理(例如,自該塊370剝下聚合物層)自該塊370上移除之。層105包含一嵌入式電極(未顯示),該電極可經充電以產生靜電電荷來固持基材304至塊370。在移除層105之前,亦可藉由接觸一有機溶劑來軟化結合黏著劑100。適合用來清潔黏著劑之有機溶劑為四氫呋喃(THF)、甲乙酮(MEK)、庚烷、乙酸乙酯、N-甲基吡咯啶酮(NMP)、環己酮、甲苯、羥胺、乙醇胺以及2-乙氧基乙醇胺或上述之混合物。以有機溶劑接觸黏著劑100並擦拭或潤濕黏著劑100而不負面地影響陶質靜電塊370。
經常清潔與翻新之特定基材處理部件為裝設在加熱塊255(具有嵌入式加熱線圈230)上之靜電塊370,其之一實例概略地顯示於第3圖中。靜電塊370為一陶質結構且以黏著劑200結合至上層或板205,而以黏著劑210結合至層215。黏著劑200、層215與黏著劑210可以為具有黏著劑之導電帶(得自ChomericTM )。再者,層205與黏著劑200可以為具有黏著劑之導電帶(亦得自ChomericTM )。較低的聚合物層215亦以黏著劑220結合至加熱塊255。黏著劑200可以為丙烯酸類黏著劑而加熱塊255為金屬結構。層205與215可由聚醯胺所構成,且在某些形式中,其包括嵌入式銅電極(未顯示)。藉由物理處理(例如,自陶質靜電塊370剝下該板)移除較高層205,其中在移除聚合物層205之前可藉由接觸一有機溶劑而軟化黏著劑200。用來清潔黏著劑200、210與220之有機溶劑為四氫呋喃(THF)、甲乙酮(MEK)、庚烷、乙酸乙酯、N-甲基吡咯啶酮(NMP)、環己酮、甲苯、羥胺、乙醇胺以及2-乙氧基乙醇胺或上述之混合物。在分開較低層215、靜電塊370與加熱塊255之前,可用有機溶劑接觸靜電塊370與加熱塊255以軟化黏著劑。在移除較高層205且分開靜電塊370、加熱塊255與較低層215之後,以有機溶劑接觸黏著劑200、210與220並擦拭或潤濕黏著劑200、210與220以移除之而不會負面地影響陶質靜電塊370與加熱塊255。一形式中,重新在陶質結構上置放聚合物層。
清潔處理之又另一形式係用來在例如部件重新磨光之過程中自基材處理部件表面清潔殘餘物361(例如,殘餘黏著劑)。此形式中,雷射400提供一脈衝式或連續波光束之雷射光束410,該光束具有適當的波長與足夠的能量密度,可掃描整個部件表面415以剝下與燃盡或燒蝕殘餘黏著劑418,如第4A圖中所示之實例。自基材處理設備302移除部件之後,可對該部件表面415應用雷射光束410。雷射光束410可透過雷射光束處理腔室430(其中置放該部件)之一窗420應用在部件表面415上,該窗420係由光可穿送、化學抵抗材料所構成。雷射400亦可位在雷射腔室430中(未顯示)。亦可利用載氣使其流過基材處理部件表面以攜帶氣體或蒸發之黏著劑沉積物(經移除)到雷射腔室之下游區域。
適當之雷射400包括CO2 雷射、Nd-YAG雷射(釹釔鋁石榴石)、Er:Nd-YAG雷射(鉺ND-YAG)、氬雷射、高功率二極體雷射與其他固態雷射。氬雷射之波長為488 nm或514 nm、二極體雷射提供810至980 nm、ND/YAG雷射產生通常為1064 nm的波長、Er:Nd-YAG雷射提供2940 nm而CO2 雷射提供9300至10600 nm。雖然提出某些說明性波長範圍與閥值,可以理解這些可修改成其他波長範圍。
雷射功率密度經調控以(i)重組(defragment)並蒸發殘餘物361(諸如,黏著劑或聚合物殘餘物)而不傷害部件之下層結構,(ii)移除黏著劑殘餘物與環氧層兩者,與/或(iii)將特徵劃入下層結構。樂見以受到良好控制之動態聚焦(dynamic focusing)光束聚焦並掃描部件具有殘餘物361之整個表面輪廓。可能需要多個光束結構以達成最好的清潔效率。舉例來說,適當之雷射400提供功率密度為9.6 x 106 W/cm2 至8.6 x 107 W/cm2 之雷射(其功率程度範圍約100至5000 Watts)。5 kW雷射之密度不可能更高但可能具有較寬之光束。另一重要的雷射參數為脈衝頻率(pulse frequency),各個脈衝功率隨著其之脈衝頻率的減少而增加。舉例而言,為了移除聚合物表面塗層,使用10至90kHz(更常為30 KHz)之脈衝頻率。對於以雷射400進行表面刻紋而言,適當之脈衝功率包括約4至36 kHz(更常約為12 KHz)。
一形式中,重新磨光包含一表面之基材處理部件,該表面包括下層金屬結構上之聚合物層上方的黏著劑殘餘物361。黏著劑殘餘物361包括丙烯酸類黏著劑殘餘物。聚合物層包括環氧層。以能量密度程度高到足以燒蝕黏著劑殘餘物361與環氧層之雷射掃描基材處理部件的整個表面,以及除了燒蝕黏著劑殘餘物361與環氧層之外以燒蝕線刻劃金屬結構表面。接著,可視情況而定在金屬結構上形成新的聚合物層。
一實例中,如第4A圖中所示,Nd-YAG雷射400產生雷射光束410,該光束可燒蝕與蒸發部件表面415上的黏著劑殘餘物418,例如包括化學機械研磨(CMP)設備之固持環500的基材處理部件上之LavacoatTM 層450上方的環氧塗層440表面上。雷射光束410可有利地自表面415清潔黏著劑殘餘物361以及燒蝕環氧塗層440兩者,且甚至清掉LavacoatTM 層450的凹處部位與特徵。固持環500係用於化學機械研磨設備(例如,得自Applied Materials,Santa Clara,California之一者)以平面化裝設在基材載具上之基材304,該載具面對具有研磨墊之研磨頭。化學機械研磨設備描述於美國專利編號5,738,574,而載頭描述於美國專利編號6,251,215,在此將兩者之全文以參考資料併入本文中。
第5圖顯示一固持環500,其具有帶有平坦底部表面503之第一較低部分505,其包括通道510(或溝槽);傾斜部分530/590以及垂直部分525。平整通道510開始於底部表面之內圓周而結束於外圓周,且以相等的角度間隔分散在固持環500周圍。通常通道510與通過固持環500中心之放射狀部分相對45°而定向,但其他角度之定向(例如,介於30至60°之間)亦有可能。固持環500之較低部分505可由對化學機械研磨處理呈化學惰性之材料所構成,且該材料具有足夠之彈性使得基材邊緣靠著固持環500的接觸不會造成基材304碎裂或破裂。
固持環500的第二部分,較高部分545,具有平坦底部表面與垂直部分580以及平行底部表面之頂部表面560。頂部表面560包括孔洞565,用以接收螺栓、螺絲或其他器件以將固持環500與載頭固定在一起。此外,一或多個校準孔570可位於較高部分中545。若固持環500具有一個校準孔570,則載頭具有一個相對應之插銷(未顯示)可在適當對準載頭與固持環500時與校準孔570配對。較高部分545可由堅硬材料(例如,金屬)所構成。形成較高部分的適當金屬包括不鏽鋼、鉬,或者可使用鋁或陶瓷。可應用黏著劑、螺絲或壓入配合式(press-fit)結構接合較低部分505與較高部分545。黏著劑層可以為兩件式慢凝環氧化物(slow-curing epoxy),例如Magnobond-6375TM (得自Magnolia Plastics,Chamblee,Georgia)。
第4B圖顯示包括用於處理腔室306中之氣體分散板600之部件的一部分,而這可藉由應用雷射400之雷射燒蝕法清潔之。雷射光束410能夠燒蝕與蒸發留在氣體分散板600之暴露面上的黏著劑殘餘物418。此形式中,自氣體分散板600移除鋁層,在氣體分散板600之暴露面601上留下黏著劑殘餘物418。氣體分散板600具有許多個孔洞610,當部件用於處理腔室中時,氣體可由這些孔洞通過。殘餘物361可黏住氣體分散板600之表面以及孔洞610之內部表面612。藉由單純地將雷射400以一固定速度橫跨氣體分散板600而應用雷射燒蝕來清潔氣體分散板600之暴露面601以及孔洞610之內部表面612兩者。適當的雷射可運轉在約100 Watts至5000 Watts的功率下。
第6圖顯示氣體分散板600之另一實施例,該板具有一較稀中央部份602(具有較少與較小之孔606)以及一較密周圍部分604(具有較多與較大之孔608)。氣體分散板600的質量低到足夠允許快速加熱至平衡溫度(由輻射熱能損失所測定),並可對基材304之表面提供一致的氣體分散。氣體分散板600的中央部份具有較小之孔606以抵銷中央的快速處理氣體流,其中該些孔的數目與尺寸隨著接近氣體分散板600之較密周圍部份604而增加以提高晶圓邊緣處之處理氣體流動。該些孔的實際配置被視為選擇因素且可由區塊(給予氣體分散板600外觀)獨立地達成。氣體分散板600上不同大小之孔使得雷射燒蝕特別適於清潔氣體分散板600之暴露表面以及不同大小之孔的內部表面,因為雷射可更簡單地橫越暴露表面以及不同大小之孔同時仍提供相同的燒蝕能量(用於殘餘物燒蝕處理)。
雷射燒蝕殘餘黏著劑之後,亦可藉由雷射光束410進一步燒蝕部件以將特徵刻劃入表面好產生雷射刻紋(laser-textured)表面。舉例來說,第7A圖描述基材處理部件720之雷射刻紋表面724的頂視概略圖而7B圖描述同一雷射刻紋表面724之剖面透視圖。基材處理部件720具有一主體,其包括一金屬,諸如鋁、銅、不鏽鋼、鉭與鈦;一陶質,諸如氧化鋁、石英、氮化矽與氧化鈦;或一聚合物,諸如聚亞醯胺、複合式塑膠或PEEK。部件720亦可包括這些材料之組合物,例如氧化鋁或金屬部件上之聚合物塗層。作為另一實例,部件720可具有一主體,其包括為金屬(例如,鈦)的第一材料;以及一塗層,其包括為陶質(例如,氧化鈦)的第二材料。
部件720的雷射刻紋表面724可提供形成於處理腔室306中部件720上之殘餘物361改善的黏著力。部件720的雷射刻紋表面724可以為部件720的任何一面。例如,部件720的雷射刻紋表面724可以為部件720暴露於基材處理腔室306中之氣體或電漿(其通常產生沉積於部件表面上之處理殘餘物)的一面。雷射刻紋表面724呈現表面特徵給處理腔室306之內部環境,殘餘物361可聚集與附著且甚至在數量相當大之殘餘物361沉積於刻紋表面(許多個基材處理週期中)之後仍可穩固地附著於該表面上。藉由穩固地附著於雷射刻紋表面724,可大致上避免殘餘物361自部件720上成片狀剝落並污染腔室306中正在處理之基材304。在部件720需要經清潔以移除殘餘物361(可能自部件720上成片狀剝落或脫落)之前,改善的殘餘物361黏著力可讓腔室持續使用較長週期。
雷射刻紋之一形式中,如第7A圖與第7B圖中所示,雷射刻紋表面724包括周期性間隔溝槽728之陣列726。陣列726中的各個單獨溝槽728具有一寬度729、長度730與深度731以及沿著長度730而展開之縱軸732。溝槽728可經製造而具有特定的長度730相對寬度729之比例或深度731相對寬度729之比例,而這取決於殘餘物361的類型,殘餘物試圖附著於溝槽以改善殘餘物361對雷射刻紋表面724之附著力與保留性。舉例而言,長且窄的溝槽728(具有高的長寬比)提供軟性殘餘物361良好的附著力,因為上述之溝槽728提供可較佳地夾住軟性殘餘物361之相對高的表面積。同樣地,較容易清潔窄且較不深的溝槽728以移除軟性殘餘物361。這些溝槽728適合形成於蝕刻處理(執行於蝕刻處理腔室)中之軟性聚合物的蝕刻殘餘物361。一形式中,溝槽728的長度730相對寬度729之比例高於約40:1且較佳為高於約80:1。例如,上述之窄溝槽728的尺寸包括0.1 mm至2 mm的深度,較典型的深度為0.25 mm;亦包括0.1 mm至2 mm的寬度,較典型的寬度為0.25 mm;以及至少約20 mm的長度。溝槽728亦可形成自表面邊緣延伸至腔室部件中心的單一螺旋,且亦可形成同心弧形或平行、同心圓形。
具有較小長寬比的寬溝槽728可有利於諸如鋁或銅沉積物(形成於PVD處理中)之殘餘物361的附著,因為這些較軟的金屬材料相對於易脆材料在溝槽之一已知深度下較不易破裂與成片剝落。同樣地,相對較寬之溝槽728可讓較軟之材料流入或回流入並順著溝槽728,減少殘餘物361堆積於鄰近隆起部之表面上。例如,溝槽728可作為儲存槽以容納鋁之回流殘餘材料。一形式中,上述之溝槽728的長度730相對寬度729之比例小於約30:1。例如,這些溝槽728的尺寸包括1 mm至5 mm的深度與1 mm至10 mm的寬度。
通常較硬或較脆之殘餘物361可較佳地附著於相對較少發生劇烈改變雷射刻紋表面724之幾何形狀的溝槽728。雷射刻紋表面724高的表面積可提供一較大的面積,而殘餘物361可聚集與附著於上,因此可提高雷射刻紋表面724聚集與保留殘餘物361的效力。然而,大量溝槽728造成表面幾何形狀中時常的劇烈改變,可在沉積殘餘物361中產生機械壓力提高的局部場合,特別是當殘餘物361為易脆時。這些機械壓力提高的局部場合藉由引起殘餘物361壓力相關的片狀剝落與脫落而減少殘餘物361的附著力。因此,相對較少發生劇烈改變之雷射刻紋表面724的幾何形狀亦可提高雷射刻紋表面724聚集與保留硬性殘餘物的效力。易脆殘餘物通常包括陶質與耐火金屬(refractory metal),諸如鉭、鈦、氮化鉭與氮化鈦。這些較脆之材料通常可較佳地附著於長度730相對寬度729之比例低於約40:1(例如,10:1至30:1)之溝槽,以及較少急劇轉角與邊緣之表面幾何形狀的雷射刻紋表面724。
周期性間隔之溝槽728的陣列726亦可具有特定分隔距離736,位於相鄰溝槽728的中心之間。分隔距離為陣列726之物理特徵重複的循環。例如,溝槽728之剖面圖式可包括一圓形轉角,其周期性地重複於溝槽728之陣列726上。分隔距離736係經選擇以使殘餘物361對雷射刻紋表面724之附著力最佳化。例如,一形式中,分隔距離736係經選擇以使雷射刻紋表面724暴露於處理腔室之環境的表面積最佳化好提高殘餘物361聚集與保留於雷射刻紋表面724。分隔距離736可經選擇小到足以使溝槽728相對密集地間隔分佈在暴露表面上,因此提高表面積;以及大到足以使鄰近溝槽728不會部份重疊並減少表面積。分隔距離736亦與用來形成溝槽728之陣列726的雷射刻紋處理相關。例如,一形式中,係以用來產生雷射刻紋表面724之雷射波長的函數(例如,約0.5至5.0)來選擇分隔距離736,其中為用來產生雷射刻紋表面724之雷射波長。此形式的分隔距離736為有利的,因為這是操作雷射設備400之分隔距離736的便利範圍,且亦可產生雷射刻紋表面724最佳的表面積。
一形式中,暴露於處理腔室306之內部環境的部件720表面可大致上以周期性間隔之溝槽728的陣列726整個覆蓋。亦可提供周期性間隔之溝槽728的陣列726以匹配部件720(具有雷射刻紋表面724)之幾何形狀特徵或曲面。例如,部件720可具有大致上圓形的幾何形狀或某些其他幾何形狀,而間隔溝槽728之陣列726可經匹配使得溝槽728之縱軸732順著部件720之曲面。這可提高雷射刻紋表面724聚集與保留殘餘物361的效力。例如,縱軸732順著部件720之曲面的溝槽728通常能夠具有相對較大的長寬比。相反地,縱軸732不順著部件720之曲面的溝槽728可能碰到部件表面上的邊緣或過度區域而需要過早地結束溝槽728。縱軸732順著部件720之曲面的溝槽728亦可提高在部件720上構成雷射刻紋表面724的簡單性。例如,較易讓雷射設備400順著部件720的固有幾何形狀而不是逆著幾何形狀運行。相反地,製造雷射刻紋表面724之溝槽728(其縱軸732違反部件720之曲面)的陣列726需要相對較複雜的定位設備以產生溝槽728。
另一形式中,雷射刻紋表面724包括由周期性間隔之突出物(knob)740所形成之溝槽728的陣列738,如第7C圖與第7D圖中所示。周期性間隔之突出物740的陣列738包括具有排列成格子狀(具有兩個正交軸)之元件的二維陣列。該些突出物740可為具有特定剖面之正方形或圓形凸出物,自部件720之表面上延伸。一形式中,該些突出物740具有錐形側壁之正方形剖面。突出物740之陣列738具有特定分隔距離介於鄰近個別突出物740的中心之間,包括沿著陣列738之第一軸746的第一分隔距離744以及沿著陣列738之第二軸750的第二分隔距離748。陣列738之第一軸與第二軸746、750彼此垂直並指向突出物740大致上排列與重複之方向。一形式中,第一與第二分隔距離744、748為相同的且係經選擇以使殘餘物361聚集與保留於雷射刻紋表面724達到最佳化。例如,一實施例中,第一與第二分隔距離744、748係經選擇而與突出物740之高度742有所關係。分隔距離744、748與突出物740之高度742之間的關係係經最佳化以提高雷射刻紋表面724之表面積並提供最理想之幾何形狀以聚集與保留殘餘物361。一形式中,突出物740之陣列738的突出物740高度742相對相同的第一與第二分隔距離744、748之比例約0.2:1至1:1。取決於沉積膜,溝槽之間的第一分隔距離744與溝槽高度733可能相當小,例如0.010至0.20等級,且此實例中1:1的比例為適當的。然而,具有較淺溝之溝槽728可較佳地控制蝕刻腔室中聚合物之蝕刻殘餘物的聚集。其他應用(例如,PVD腔室)中,可提高溝槽728之寬度並加深溝槽高度733,例如各增加0.10與0.10。
突出物740亦可具有圓形邊緣,邊緣的圓滑程度可經選擇以提高殘餘物361對突出物740之陣列738的附著力。例如,可藉由提高正方形凸出物之圓形轉角的曲面半徑而使突出物740變圓而降低雷射刻紋表面724中出現急劇特徵的可能性。藉由調整用於構成突出物740之陣列738的雷射刻紋處理來達成突出物740圓滑的程度。一般來說,當易脆沉積物形成於刻紋表面上時樂見避免急劇轉角以減少聚積膜的應力,且亦幫助清潔軟性或黏性沉積物。此外,若以共形塗層(conformal coating)塗覆表面或底座材料為鋁的話,電鍍急劇轉角容易產生塗層缺陷或不均勻的膜層厚度。
清潔與再度磨光之另一形式中,藉由自介電質、石英與金屬基材處理部件移除聚合物殘餘物(包括有機物(碳)與AlF3 沉積物)以清潔處理腔室306。此形式中,以電漿流(由電漿切割器810所產生)接觸基材處理部件具有殘餘物之表面,以足夠高溫的電漿流橫越基材處理部件之表面以燃盡或蒸發CVD、PVD與蝕刻基材處理部件上之聚合物殘餘物361。在高溫下以含氧電漿流(例如,空氣)氧化聚合物殘餘物361。利用許多類型之電漿流(諸如,氬、氮、氫或氦)的任何一者蒸發AlF3 沉積物,而利用氧電漿蒸發有機沉積物。
此處理中,可移除聚合物殘餘物361(例如,AlF3 )而不自基材處理部件移除相當明顯的部分。針對AlF3 來說,利用電漿流剝除法自底座材料(例如,包括陶質或介電質之部件)蒸發薄膜。當殘餘物在低於底座材料之熔點或昇華點的溫度下昇華或熔化時,電漿可蒸發殘餘物而不蒸發底座材料。氟化鋁在1000至1250℃下昇華然而包含氧化鋁(Al2 O3 )、石英(SiO2 )、氮化鋁(AlN)與數種其他介電材料之基材處理部件在1400℃與更高之溫度下熔化。此外,由於這些材料的低導熱性使得其之熱穿透性不佳,使得可以在電漿流溫度下蒸發AlF3 殘餘物361同時留下未受影響部件之下層介電質。雖然AlN具有相對較高的導熱性,但其具有相對高的昇華溫度2000℃,這讓蒸發AlF3 沉積物同時留下未受影響之介電質基材變得可能。可藉由設定電漿切割器810通過處理殘餘物361的速度以及用於切割器中之氣體類型來控制處理殘餘物361之溫度。例如,機器CNC電漿切割器810可用預定之速度橫越部件表面以確保殘餘物361之移除對下層部件表面的傷害或加熱達到最小。一般而言,電漿之溫度係約12,000℃至低於約20,000℃,更常見之溫度係約14,000至17000℃。這些高溫可讓電漿流蒸發AlF3 殘餘物同時僅些微地加熱下層部件之表面。
此處理中,可移除性質較偏向有機物之殘餘物361而不移除部件相當明顯的部份。處理腔室306與部件可為陶質、介電質或金屬。針對有機殘餘物而言,氧或空氣電漿流較為適合因為其產生可將基材處理部件上之碳化物斷裂成揮發性一氧化碳或二氧化碳之氧化性電漿流。針對有機殘餘物而言,樂見維持電漿切割器810較高的速度以提高處理速度。然而,介電質與陶質部件不會被電漿流所氧化,因此可清潔部件而不移除底座材料。
此處理利用一低成本之電漿切割器810來產生電漿流。電漿切割器810藉由使氣體通過兩電極之間同時以電位能量化該區域以產生密集電漿流。這詳細說明於電漿切割器810賣家的工具說明書,例如Miller Thermal IncTM 製造的電漿切割器810。電漿流通常不會長於2英吋。廣佈的電漿流較為適合因為該流之溫度可下降至較可使用之程度同時產生較廣泛的應用。為了使電漿流在部分上之共振時間達到最小,應該在選轉桌上進行選轉以便沒有什麼機會出現偶發地熔化、蒸發與/或破裂該部件。由於電漿切割器810的溫度可超過15,000℃,必須限制電漿流在部分上之共振時間。
第8圖中概略地描述適合產生電漿流之說明示範性電漿切割器810。此電漿切割器810中,載氣係流於兩個電極805(諸如,陽極與陰極)之間。陰極可為圓錐形而陽極可為圓柱形。電壓供應電路806提供該些電極之間所需的電壓。在電極之間產生高電流電弧804。電弧804可離子化載氣而產生高壓電漿流803,其可蒸發殘餘物807。可將電漿切割器810裝設在可控式機械臂(未顯示)上以調整電漿流與即將清潔之表面的距離與角度。
已經以此方式描述本發明之示意實施例,可以理解那些熟悉技術之人士可輕易想到不同改變、修改與改良。上述之明顯改變、修改與改良雖然並沒有明確地描述於上,但是預期為暗示的且落於本發明之精神與範圍中。因此,上述之討論預期僅為說明性而不是限制性;本發明僅受限與界定於接下來之申請專利範圍與其等效物。
100、200、210、220...黏著劑
105、205、215...層
230...加熱線圈
255...加熱塊
300...控制器
301...感應器
302...基材處理設備
303...感應場-傳送窗
304...基材
306、430...腔室
308...處理區
309...偵測器
310...支撐件
311...傳送件
312...腔室壁
313、378、805...電極
314...側壁
316...底壁
318...頂件
334...流量控制閥
336...氣體導管
338...氣體供應器
342...氣體入口
344...排出器
346...泵吸通道
350...節流閥
352...排出泵浦
354...氣體激發器
355...天線電源
356...天線
358...誘導線圈
360...密封劑
361、807...殘餘物
370...靜電塊
374...靜電主體
380...基材接收表面
382...電源
400...雷射
410...雷射光束
415...部件表面
418...粘著劑殘餘物
420...窗
440...環氧塗層
450...LavacoatTM
500...固持環
503...平坦底部表面
505...較低部分
510...通道
525、580...垂直部分
530/590...傾斜部分
545...較高部分
560...頂部表面
565、610...孔洞
570...校準孔
600...氣體分散板
601...暴露面
602...中央部份
604...周圍部份
606...較小之孔
608...較大之孔
612...內部表面
720...基材處理部件
724...雷射刻紋表面
726、738...陣列
728...溝槽
729...寬度
730...長度
731...深度
732...縱軸
733、742...度高
736...分隔距離
740...突出物
744...第一分隔距離
746...第一軸
748...第二分隔距離
750...第二軸
803...電漿流
804...電弧
806...電壓供應電路
810...電漿切割器
參照下述說明與隨附申請專利範圍與附圖(描述本發明之實施例)可更加理解本發明之這些特徵、態樣與優點。然而將可理解各個特徵可普遍地用於本發明中,而不是僅僅在特定圖示的內文中,且本發明包括這些特徵的任何組合,其中:第1圖係具有可由清潔處理清潔之部件表面的基材處理腔室之示範性實施例的剖面側視圖;第2圖係靜電塊之部件的剖面側視圖;第3圖係具有加熱塊之靜電塊的剖面側視圖;第4A圖係概略圖示,其顯示自部件(包括用於CMP設備的聚合物塗覆固持環)之刻紋表面雷射清潔黏著劑殘餘物;第4B圖係概略圖示,其顯示自部件(包括具有黏著劑殘餘物的氣體分散板)雷射清潔黏著劑殘餘物;第5圖係CMP固持環的透視圖;第6圖係氣體分散板的頂視圖,其顯示複數個具有不同大小的氣體進給孔;第7A圖係具有平行溝槽與邊緣之部件的刻紋表面之概略頂視圖;第7B圖係第7A圖部件之刻紋表面的剖面透視圖;第7C圖係具有邊緣與凹陷之部件的刻紋表面之另一實施例的概略頂視圖;第7D圖係第7C圖部件之刻紋表面的剖面透視圖;第8圖係電漿切割設備的圖示。
400...雷射
410...雷射光束
415...部件表面
418...黏著劑殘餘物
420...窗
430...腔室
440...環氧塗層
450...LavacoatTM
500...固持環

Claims (18)

  1. 一種清潔一基材處理部件的方法,該基材處理部件包括具有一聚合物塗層與形成於該聚合物塗層上之殘餘物的一表面,該方法包含下列步驟:(a)以一有機溶劑接觸該基材處理部件該表面上的該聚合物塗層;以及(b)以該有機溶劑移除該些殘餘物而不移除該聚合物塗層,其中該有機溶劑包括至少下列之一者:環己酮(cyclohexanone)、乙醇胺(ethanol amine)、乙酸乙酯、2-乙氧基乙醇胺(2-ethoxy ethanol amine)、庚烷、羥胺(hydroxylamine)、甲乙酮(methyl ethyl ketone)、N-甲基吡咯啶酮(N-methyl pyrrolidone)、四氫呋喃(tetrahydrofuran)與甲苯(toluene)。
  2. 如申請專利範圍第1項所述之方法,其中該以一有機溶劑接觸該基材處理部件該表面上的該聚合物塗層之步驟包括下列至少一者:(i)以一浸漬該有機溶劑之擦拭件(wipe)接觸該表面,該擦拭件係自一預先封裝有複數個浸漬擦拭件於其中的容器中選出;(ii)噴灑該有機溶劑於該表面上;或(iii)將該基材處理部件表面浸泡於該有機溶劑中。
  3. 如申請專利範圍第2項所述之方法,其中(iii)中之該有機溶劑在該浸泡步驟時係由超音波能量所攪動。
  4. 如申請專利範圍第1項所述之方法,其中該聚合物塗層包括一聚合物密封劑,其包括甲基丙烯酸酯(methacrylate)。
  5. 如申請專利範圍第1項所述之方法,其中接觸該基材處理部件之表面包括接觸一基材處理腔室壁,該基材處理腔室壁包括以(i)鎳鍍層、(ii)電鍍鋁、(iii)碳化矽與(iv)一聚合物塗層(包括一聚合物密封劑)塗覆之一鋁結構。
  6. 如申請專利範圍第1項所述之方法,其中該聚合物塗層包括一黏著劑殘餘物。
  7. 一種翻新(refurbishing)一基材處理部件之方法,該基材處理部件包括有以一黏著劑附著於一下層陶質結構之一聚合物層,該方法包括下列步驟:(a)自該陶質結構移除該聚合物層,因此留下殘餘黏著劑於該陶質結構上;(b)以一有機溶劑接觸該殘餘黏著劑以自該下層陶質 結構移除該殘餘黏著劑;以及(c)重新將該聚合物層置於該陶質結構上,其中該有機溶劑包括至少下列之一者:環己酮、乙醇胺、乙酸乙酯、2-乙氧基乙醇胺、庚烷、羥胺、甲乙酮、N-甲基吡咯啶酮、四氫呋喃與甲苯。
  8. 一種自一基材處理部件之一表面燒蝕(ablating)黏著劑殘餘物的方法,該方法包括下列步驟:(a)以一能量密度高到足以燒蝕該黏著劑殘餘物之一雷射光束掃描該基材處理部件之整個表面。
  9. 如申請專利範圍第8項所述之方法,其中該雷射光束具有至少一下列特徵:(i)該光束提供約9.6 x 106 W/cm2 至約8.6 x 107 W/cm2 之一瓦特數;(ii)該光束為一脈衝波光束;(iii)該光束為一連續波光束;(iv)該光束係由一CO2 雷射、Nd-YAG雷射、Er:Nd-YAG雷射、氬雷射、高功率二極體雷射或其他固態雷射所產生;以及(v)該光束的功率範圍係約100 Watts至5000 Watts。
  10. 如申請專利範圍第8項所述之方法,其中該基材處理部 件包括位於該黏著劑殘餘物下方之一聚合物塗層,且其中(a)除了燒蝕該黏著劑殘餘物之外還包括燒蝕該聚合物塗層。
  11. 如申請專利範圍第8項所述之方法,其中(a)除了燒蝕該黏著劑殘餘物之外還包括在該部件之表面上刻劃數個特徵。
  12. 如申請專利範圍第8項所述之方法,其中該基材處理部件包括一固持環或一氣體分散板。
  13. 如申請專利範圍第8項所述之方法,其中該方法更包括藉由使一載氣流過該基材處理部件之表面而移除該黏著劑殘餘物。
  14. 一種翻新一基材處理部件之方法,該基材處理部件包括一表面,該表面包括有覆蓋一下層金屬結構之一聚合物層上方的黏著劑殘餘物,該方法包括下列步驟:(a)以一能量密度程度高到足以燒蝕該黏著劑殘餘物之一雷射光束掃描該基材處理部件之整個表面;以及(b)在該金屬結構上形成一新的聚合物層。
  15. 如申請專利範圍第14項所述之方法,其中該雷射光束具有至少一下列特徵:(i)該光束提供約9.6 x 106 W/cm2 至約8.6 x 107 W/cm2 之一瓦特數;(ii)該光束為一脈衝波光束;(iii)該光束為一連續波光束;(iv)該光束係由一CO2 雷射、Nd-YAG雷射、Er:Nd-YAG雷射、氬雷射、高功率二極體雷射或其他固態雷射所產生;以及(v)該光束的功率範圍係約100 Watts至約5000 Watts。
  16. 一種清潔一基材處理部件之方法,該方法包括下列步驟:(a)以一電漿流接觸該基材處理部件具有殘餘物之一面;以及(b)以一溫度高度足以蒸發該些殘餘物之該電漿流掃描該基材處理部件之整個表面。
  17. 如申請專利範圍第16項所述之方法,其中該電漿流包括至少下列之一者:(i)氧或空氣;以及(ii)氬、氮或氦。
  18. 如申請專利範圍第16項所述之方法,其中該電漿流係由一電漿切割器所產生。
TW096139557A 2006-10-19 2007-10-22 自基板處理部件移除殘餘物之方法 TWI395263B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/551,114 US20080092806A1 (en) 2006-10-19 2006-10-19 Removing residues from substrate processing components

Publications (2)

Publication Number Publication Date
TW200919562A TW200919562A (en) 2009-05-01
TWI395263B true TWI395263B (zh) 2013-05-01

Family

ID=39217999

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096139557A TWI395263B (zh) 2006-10-19 2007-10-22 自基板處理部件移除殘餘物之方法

Country Status (5)

Country Link
US (3) US20080092806A1 (zh)
JP (3) JP2010507252A (zh)
CN (2) CN102626698B (zh)
TW (1) TWI395263B (zh)
WO (1) WO2008051393A2 (zh)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20110104385A1 (en) * 2008-07-04 2011-05-05 Abb Research Ltd. Apparatus for electrostatically coating a workpiece and method of reducing contamination thereof
JP2010044030A (ja) * 2008-08-18 2010-02-25 Fujitsu Ltd レーザクリーニング装置およびレーザクリーニング方法
US8291565B2 (en) * 2008-10-10 2012-10-23 Lam Research Corporation Method of refurbishing bipolar electrostatic chuck
US9068266B2 (en) * 2008-12-31 2015-06-30 Stmicroelectronics, Inc. Door assembly for substrate processing chamber
DE102009044011A1 (de) * 2009-09-15 2011-03-24 Paul Hettich Gmbh & Co. Kg Verfahren zum Herstellen einer beschichteten Auszugsführung
US9435035B2 (en) 2010-01-15 2016-09-06 Byd Company Limited Metalized plastic articles and methods thereof
CN102071424B (zh) * 2010-02-26 2012-05-09 比亚迪股份有限公司 一种塑料制品的制备方法及一种塑料制品
US8852685B2 (en) 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
WO2011163302A1 (en) * 2010-06-23 2011-12-29 Seidel, Inc Process for selectively removing a coating layer
CN102071411B (zh) 2010-08-19 2012-05-30 比亚迪股份有限公司 一种塑料制品的制备方法及一种塑料制品
CN102009051B (zh) * 2010-10-15 2012-02-29 电子科技大学 溶胶-凝胶膜面激光清洗设备及其清洗方法
US20120118510A1 (en) * 2010-11-15 2012-05-17 Applied Materials, Inc. Method for debonding components in a chamber
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
CN103157621A (zh) * 2011-12-13 2013-06-19 贵州黎阳航空动力有限公司 清除密封剂的化学方法及用该方法配制的溶液
CN103170481A (zh) * 2011-12-26 2013-06-26 财团法人金属工业研究发展中心 放电加工模具表面清洁方法
SE1250005A1 (sv) * 2012-01-05 2013-06-11 Bencar Ab System för styrning av miljö i en reaktionsbox
US9105676B2 (en) * 2012-09-21 2015-08-11 Lam Research Corporation Method of removing damaged epoxy from electrostatic chuck
JP6023559B2 (ja) * 2012-11-12 2016-11-09 東レエンジニアリング株式会社 薄膜形成装置
JP6009350B2 (ja) * 2012-12-28 2016-10-19 花王株式会社 電子部品が接合した回路基板の製造方法
US9161802B2 (en) * 2013-01-03 2015-10-20 Solta Medical, Inc. Patterned electrodes for tissue treatment systems
CN103996351B (zh) * 2013-02-20 2020-01-21 泰科消防及安全有限公司 粘合剂结合的物品保护标签
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
JP5760060B2 (ja) * 2013-09-27 2015-08-05 株式会社茨城技研 金属皮膜形成方法並びに金属皮膜形成製品の製造方法及び製造装置
US20150107618A1 (en) * 2013-10-21 2015-04-23 Applied Materials, Inc. Oxygen containing plasma cleaning to remove contamination from electronic device components
KR101623277B1 (ko) * 2013-11-28 2016-05-20 시바우라 메카트로닉스 가부시끼가이샤 기판 처리 장치
US9333735B2 (en) 2014-04-03 2016-05-10 Globalfoundries Inc. Methods for operating a debonder
WO2016041151A1 (en) * 2014-09-16 2016-03-24 Acm Research (Shanghai) Inc. Coater with automatic cleaning function and coater automatic cleaning method
JP2018502223A (ja) * 2014-12-15 2018-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ構成要素にテクスチャを付ける方法およびテクスチャ付き表面を有するチャンバ構成要素
US10522383B2 (en) 2015-03-25 2019-12-31 International Business Machines Corporation Thermoplastic temporary adhesive for silicon handler with infra-red laser wafer de-bonding
CN105149280B (zh) * 2015-07-01 2017-06-09 佛山市灿东模具技术有限公司 一种用于轮毂模具粘铝清洗的装置
US20170056935A1 (en) * 2015-08-28 2017-03-02 Applied Materials, Inc. Method for removing aluminum fluoride contamination from semiconductor processing equipment
US9999907B2 (en) 2016-04-01 2018-06-19 Applied Materials, Inc. Cleaning process that precipitates yttrium oxy-flouride
US10520805B2 (en) * 2016-07-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for localized EUV pellicle glue removal
US10016792B2 (en) 2016-10-14 2018-07-10 The Boeing Company Processing tool cleaning using laser ablation
US10662520B2 (en) 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
US11551931B2 (en) * 2017-12-07 2023-01-10 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium storing program for executing substrate processing method
KR20230121932A (ko) * 2018-03-22 2023-08-21 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스들의 제조에서 사용될 프로세싱 컴포넌트들의세라믹 표면들의 레이저 폴리싱
US10964527B2 (en) * 2018-06-21 2021-03-30 Applied Materials, Inc. Residual removal
WO2020023174A1 (en) 2018-07-23 2020-01-30 Applied Materials, Inc. Pre-conditioned chamber components
DE102018220677A1 (de) * 2018-11-30 2020-06-04 Siemens Aktiengesellschaft Vorrichtung zum Beschichten eines Bauelements sowie Reinigungseinrichtung und Verfahren zum Reinigen einer Beschichtungseinrichtung zum Beschichten wenigstens eines Bauelements
EP3954023A1 (en) * 2019-04-10 2022-02-16 Pierburg Pump Technology GmbH Automotive auxiliary unit with an electric motor
JP6859496B1 (ja) * 2019-08-28 2021-04-14 株式会社新菱 ガス孔をもつ半導体製造装置部品の洗浄方法
CN114206516B (zh) * 2020-04-30 2023-07-04 株式会社村田制作所 清洗装置、具备清洗装置的摄像单元以及清洗方法
CN112044863A (zh) * 2020-08-19 2020-12-08 侯强强 一种用于汽车线束组装pcb板的快速除尘设备
US11430641B1 (en) * 2021-07-02 2022-08-30 Applied Materials, Inc. Processing systems and methods to control process drift
US12000039B1 (en) * 2023-10-13 2024-06-04 Michael Walach Cleaning anti-reflective coating process chamber parts

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5966635A (en) * 1997-01-31 1999-10-12 Motorola, Inc. Method for reducing particles on a substrate using chuck cleaning
US20020094939A1 (en) * 2001-01-17 2002-07-18 International Business Machines Corporation Aqueous cleaning of polymer apply equipment
US6607605B2 (en) * 2000-08-31 2003-08-19 Chemtrace Corporation Cleaning of semiconductor process equipment chamber parts using organic solvents

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3839234A (en) * 1973-01-26 1974-10-01 C Roscoe Multi-purpose cleaning concentrate
US4076883A (en) * 1975-07-30 1978-02-28 Metco, Inc. Flame-sprayable flexible wires
JPS5948872B2 (ja) * 1978-02-20 1984-11-29 クロリンエンジニアズ株式会社 電解用陰極及びその製造法
FR2538987A1 (fr) * 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JP2515731B2 (ja) * 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4753844A (en) * 1986-12-04 1988-06-28 Airwick Industries Inc. Disposable semi-moist wipes
US4886728A (en) * 1988-01-06 1989-12-12 Olin Hunt Specialty Products Inc. Use of particular mixtures of ethyl lactate and methyl ethyl ketone to remove undesirable peripheral material (e.g. edge beads) from photoresist-coated substrates
JPH01184457A (ja) * 1988-01-18 1989-07-24 Ngk Insulators Ltd 酸素センサ素子
US5643472A (en) * 1988-07-08 1997-07-01 Cauldron Limited Partnership Selective removal of material by irradiation
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JP2598336B2 (ja) * 1990-09-21 1997-04-09 株式会社日立製作所 プラズマ処理装置
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
WO1993013245A1 (en) * 1991-12-24 1993-07-08 Detroit Diesel Corporation Thermal barrier coating and method of depositing the same on combustion chamber component surfaces
US5376223A (en) * 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
JP3147137B2 (ja) * 1993-05-14 2001-03-19 セイコーエプソン株式会社 表面処理方法及びその装置、半導体装置の製造方法及びその装置、並びに液晶ディスプレイの製造方法
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP3106040B2 (ja) * 1993-07-13 2000-11-06 理化学研究所 基板表面のドライ・クリーニング・システム
JP2720420B2 (ja) * 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
EP0749047A4 (en) * 1994-12-28 1997-06-11 Shinozaki Manufacturing Co Ltd METHOD AND APPARATUS FOR TREATING ROLLERS AND THE LIKE WITH LASER BEAM
JPH08211592A (ja) * 1995-02-07 1996-08-20 Nikon Corp 洗浄乾燥方法及び洗浄乾燥装置
JP2984783B2 (ja) * 1995-03-13 1999-11-29 株式会社住友シチックス尼崎 スパッタリング用チタンターゲットおよびその製造方法
FR2733248B1 (fr) * 1995-04-20 1997-06-13 Atochem Elf Sa Composition de nettoyage a froid a base d'alcanes ou de cycloalcanes et d'un compose organique comprenant une fonction oxygenee
TW284907B (en) * 1995-06-07 1996-09-01 Cauldron Lp Removal of material by polarized irradiation and back side application for radiation
US5723219A (en) * 1995-12-19 1998-03-03 Talison Research Plasma deposited film networks
JPH09232465A (ja) * 1996-02-27 1997-09-05 Fuji Kiko Denshi Kk 半導体実装用プリント配線板
US5928527A (en) * 1996-04-15 1999-07-27 The Boeing Company Surface modification using an atmospheric pressure glow discharge plasma source
US5914018A (en) * 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5824375A (en) * 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US5892417A (en) * 1996-12-27 1999-04-06 Motorola Inc. Saw device package and method
US5916378A (en) * 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US5798323A (en) * 1997-05-05 1998-08-25 Olin Microelectronic Chemicals, Inc. Non-corrosive stripping and cleaning composition
US6051114A (en) * 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5993621A (en) * 1997-07-11 1999-11-30 Johnson Matthey Electronics, Inc. Titanium sputtering target
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US6060439A (en) * 1997-09-29 2000-05-09 Kyzen Corporation Cleaning compositions and methods for cleaning resin and polymeric materials used in manufacture
US5879523A (en) * 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5861047A (en) * 1997-09-29 1999-01-19 Lucent Technologies Inc. Method for manufacturing an article comprising a refractory dielectric body
US5953827A (en) * 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) * 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6406759B1 (en) * 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6015465A (en) * 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
JPH11297805A (ja) * 1998-04-13 1999-10-29 Tomoegawa Paper Co Ltd 静電チャック装置、静電チャック用積層シート、および静電チャック用接着剤
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
JP2001076569A (ja) * 1999-09-07 2001-03-23 Fujikura Ltd メンブレン回路の製造方法
JP2001149877A (ja) * 1999-11-29 2001-06-05 Japan Steel Works Ltd:The 処理装置内のクリーニング方法及び装置
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
JP2002301439A (ja) * 2001-04-03 2002-10-15 Daisuke Hirao 携行型レーザークリーニング装置
US6811615B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
JP2003031953A (ja) * 2001-07-13 2003-01-31 Canon Inc ビルドアップ基板の製造方法
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US6436198B1 (en) * 2001-10-01 2002-08-20 Robert F. Swain Method and apparatus for removing polymeric coatings from optical fiber
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
JP4284911B2 (ja) * 2002-01-09 2009-06-24 ソニー株式会社 素子の転写方法
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6821350B2 (en) * 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US20030159941A1 (en) * 2002-02-11 2003-08-28 Applied Materials, Inc. Additives for electroplating solution
US6812471B2 (en) * 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US20030221702A1 (en) * 2002-05-28 2003-12-04 Peebles Henry C. Process for cleaning and repassivating semiconductor equipment parts
EP1371700A3 (en) * 2002-06-14 2004-01-21 Rohm And Haas Company Curable fluids for forming coatings and adhesives
JP4245868B2 (ja) * 2002-07-19 2009-04-02 東京エレクトロン株式会社 基板載置部材の再利用方法、基板載置部材および基板処理装置
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
JP2004193237A (ja) * 2002-12-10 2004-07-08 Disco Abrasive Syst Ltd 粘着シートを具備するウェハー保持部材,及び粘着シートの剥離方法
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
US6969452B2 (en) * 2003-02-28 2005-11-29 Combisep, Inc. Two-dimensional protein separations using chromatofocusing and multiplexed capillary gel electrophoresis
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US20040225055A1 (en) * 2003-05-06 2004-11-11 Hans Haas Cleaning process involving a dissolvable polymer coating
US7045020B2 (en) * 2003-05-22 2006-05-16 Applied Materials, Inc. Cleaning a component of a process chamber
TWI342582B (en) * 2003-07-17 2011-05-21 Applied Materials Inc Method of surface texturizing
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7264679B2 (en) * 2004-02-11 2007-09-04 Applied Materials, Inc. Cleaning of chamber components
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
CN1968763A (zh) * 2004-06-16 2007-05-23 Ppg工业俄亥俄公司 从涂覆基材上除去聚合物涂层的方法
JP5848862B2 (ja) * 2004-06-25 2016-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated カプセル化膜の遮水性能の改善
US7220687B2 (en) * 2004-06-25 2007-05-22 Applied Materials, Inc. Method to improve water-barrier performance by changing film surface morphology
US7214600B2 (en) * 2004-06-25 2007-05-08 Applied Materials, Inc. Method to improve transmittance of an encapsulating film
US7655316B2 (en) * 2004-07-09 2010-02-02 Applied Materials, Inc. Cleaning of a substrate support
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7736599B2 (en) * 2004-11-12 2010-06-15 Applied Materials, Inc. Reactor design to reduce particle deposition during process abatement
US7468227B2 (en) * 2004-11-16 2008-12-23 Applied Materials, Inc. Method of reducing the average process bias during production of a reticle
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
JPWO2006090650A1 (ja) * 2005-02-23 2008-07-24 Jsr株式会社 ウェハ加工方法
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
JP4308832B2 (ja) * 2006-05-24 2009-08-05 大日本スクリーン製造株式会社 基板洗浄装置および基板洗浄方法
US20070286954A1 (en) * 2006-06-13 2007-12-13 Applied Materials, Inc. Methods for low temperature deposition of an amorphous carbon layer
US7776516B2 (en) * 2006-07-18 2010-08-17 Applied Materials, Inc. Graded ARC for high NA and immersion lithography
US7588990B2 (en) * 2006-08-31 2009-09-15 Applied Materials, Inc. Dynamic surface annealing of implanted dopants with low temperature HDPCVD process for depositing a high extinction coefficient optical absorber layer
US8083963B2 (en) * 2007-02-08 2011-12-27 Applied Materials, Inc. Removal of process residues on the backside of a substrate
US20090250108A1 (en) * 2008-04-02 2009-10-08 Applied Materials, Inc. Silicon carbide for crystalline silicon solar cell surface passivation

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US5792562A (en) * 1995-01-12 1998-08-11 Applied Materials, Inc. Electrostatic chuck with polymeric impregnation and method of making
US5966635A (en) * 1997-01-31 1999-10-12 Motorola, Inc. Method for reducing particles on a substrate using chuck cleaning
US6607605B2 (en) * 2000-08-31 2003-08-19 Chemtrace Corporation Cleaning of semiconductor process equipment chamber parts using organic solvents
US20020094939A1 (en) * 2001-01-17 2002-07-18 International Business Machines Corporation Aqueous cleaning of polymer apply equipment

Also Published As

Publication number Publication date
US20080092806A1 (en) 2008-04-24
WO2008051393A3 (en) 2008-11-06
CN102626698B (zh) 2018-08-21
JP2012216849A (ja) 2012-11-08
US20120107520A1 (en) 2012-05-03
TW200919562A (en) 2009-05-01
WO2008051393A2 (en) 2008-05-02
CN101528368A (zh) 2009-09-09
JP2014099619A (ja) 2014-05-29
US20140076354A1 (en) 2014-03-20
JP2010507252A (ja) 2010-03-04
CN102626698A (zh) 2012-08-08
JP6261974B2 (ja) 2018-01-17

Similar Documents

Publication Publication Date Title
TWI395263B (zh) 自基板處理部件移除殘餘物之方法
TW563200B (en) Low contamination plasma chamber components and methods for making the same
TWI270934B (en) Laser drilled surfaces for substrate processing chambers
US7993470B2 (en) Fabricating and cleaning chamber components having textured surfaces
JP5390846B2 (ja) プラズマエッチング装置及びプラズマクリーニング方法
TWI466170B (zh) 用於光阻劑剝離室之鋁裸板
JPH0888219A (ja) テクスチャ集束環を用いるプラズマ処理装置
US10586687B2 (en) Method and apparatus for reproducing component of semiconductor manufacturing apparatus, and reproduced component
TWI752925B (zh) 表面塗佈處理
JP2008533741A (ja) 低減されたポリマー堆積特性を有するプラズマ閉じ込めリング組立体
JP7366045B2 (ja) 半導体デバイスの製造に使用される加工構成要素のセラミック表面のレーザ研磨
CN109961999B (zh) 一种气体喷淋头及防止聚合物积聚的方法
JP4440541B2 (ja) プラズマ処理装置の再生方法、プラズマ処理装置およびプラズマ処理容器の内部の部材の再生方法
JP2007332462A (ja) プラズマ処理装置の再生方法,プラズマ処理容器内部材,プラズマ処理容器内部材の製造方法及びプラズマ処理装置
US11384430B2 (en) Method for conditioning a ceramic coating
KR200396090Y1 (ko) 공정 잔류물이 부착되는 표면을 갖는 기판 공정 챔버 부품
US20240308926A1 (en) Treated ceramic chamber parts
JP2000109989A (ja) プラズマ処理装置の内壁保護部材
KR20240096735A (ko) 용량 커플링 챔버를 위한 코팅 부품
JP2004179557A (ja) 静電チャック及びその製造方法