JP2010501881A - 多重パターニングプロセスのための反射防止結像層 - Google Patents

多重パターニングプロセスのための反射防止結像層 Download PDF

Info

Publication number
JP2010501881A
JP2010501881A JP2009524799A JP2009524799A JP2010501881A JP 2010501881 A JP2010501881 A JP 2010501881A JP 2009524799 A JP2009524799 A JP 2009524799A JP 2009524799 A JP2009524799 A JP 2009524799A JP 2010501881 A JP2010501881 A JP 2010501881A
Authority
JP
Japan
Prior art keywords
layer
imaging
substrate
composition
pattern layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009524799A
Other languages
English (en)
Other versions
JP5070287B2 (ja
Inventor
ダグラス ジェイ. ゲレロ
ラミル−マルセロ メルカド
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2010501881A publication Critical patent/JP2010501881A/ja
Application granted granted Critical
Publication of JP5070287B2 publication Critical patent/JP5070287B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials For Photolithography (AREA)

Abstract

新規な感光性樹脂組成物二重パターニング方法を提供する。
該方法は、基板に感光性組成物を塗布し、該組成物を熱架橋することを含む。架橋層は反射制御機能させるために用いることができる。露光により、組成物中の架橋したポリマー(またはオリゴマーまたはモノマー)は解架橋し、該露光部を典型的なフォトレジスト現像液(たとえば、アルカリ現像剤)に溶解可能にする。組成物の架橋部分は、感光性組成物を形成するために用いられる上記溶液に不溶性のままであることは利点である。その結果、該コーティング、リソグラフ、およびまたは現像工程は、先に形成したパターンを破壊することなく個々のプロセスに応じてさまざまなオーダーで多数回繰り返すことができる。

Description

関連出願
本願は、多重パターニングプロセス用反射防止結像層の表題で2006年8月18日に出願された仮出願番号60/822,823の優先権を主張し、その記載を引用することにより本明細書に記載されているものとする。
技術分野
本発明は、広く、熱架橋性,感光性組成物を利用する新規な二重パターニング方法に関する。
フォトリソグラフィプロセス改善の動向として、高開口数(NA)のツールおよび/または浸漬液の使用がある。NA能力の高い(>1.0)イメージングツールを、それ自体または浸漬と組合わて用いれば、より小さい臨界サイズとより高い密度をもつより高いパターン解像度を達成しうる方法が提供される。このような利点は、結像層に到達しうる大光量によって可能である。しかしながら、これらはかなりコスト高で、かつ新たな設備一式を必要とする。
高密度リソグラフィを達成する試みとして多重パターニング技術が用いてられてきた。しかしながら、これらは、フォトレジスト塗布の繰り返しによってフォトレジストパターンが破壊されるという欠陥がある。
現在使用可能な装置を用いて高密度パターニングを可能にする改善された方法が求められている。
本発明は、マイクロ電子構造の形成方法を広く提供することにより、このような課題を解決する。該方法は、基板表面の直上に結像層を形成するために、感光性組成物を基板表面に塗布する工程を含む。結像層中の成分(たとえば、ポリマー、オリゴマー、化合物)は、次いで架橋した後、該層を露光し、この露光により化学的に変性した露光部を該層中に得る。上記結像層を現像剤好ましくは水性アルカリ現像剤と接触させ、基板から露光部を除去し、結像パターン層を形成する。
次に、第2の感光性組成物を加え、上記結像パターン層上に第2の結像層を形成する。これは、従来技術のプロセスで必須といえる結像パターン層の最初の加熱をすることなく行われる。次いで、該第2の結像層がパターン化および現像され得るが、このコーティング/パターニング/現像プロセスは、必要に応じて、多数回繰り返すことができる。結像層中に所望のパターンを充分に形成するまでプロセスを繰り返した後、パターンを基板に転写することができる。
他の態様では、架橋した結像層を露光し、該層中に露光部を生成する。その後、該層に1回以上の追加露光工程を施し、各工程で結像層中にさらなる露光部を生成させる。所望の露光を生じさせた後、単一の現像工程を実施して全露光部を除去することができ、最終的に基板に転写することになる結像パターン層を生成する。または、次の露光工程に先立って露光部を除去するために、現像工程を各露光工程の後に実施することができる。
図1は本発明に係るプロセスを示す模式図である。 図2は本発明に係るプロセスの第2の態様を示す模式図である。 図3は本発明に係るプロセスの第3の態様を示す模式図である。
本発明の方法
図1は、本発明のプロセスの一態様を示す。上面12をもつ基板10が準備される。一般的に使用されるどのようなマイクロ電子基板でも用いることができ、たとえば以下の1以上を含む基板が挙げられる:シリコン、アルミニウム、タングステン、ケイ化タングステン、ガリウムヒ素、ゲルマニウム、タンタル、窒化タンタル、SiGeおよびこれらの混合物。
工程(a)中に示されるとおり、熱架橋性でかつ光化学的に解架橋性の組成物を、表面12に塗布し、上面16をもつ結像層14を形成する。該組成物は、公知のどのような塗布方法で塗布してもよく、1つの好ましい方法は、該組成物を約750rpm〜約3,500rpm(好ましくは約1,000rpm〜約2,500rpm)の速度で約10秒〜約120秒(好ましくは約20秒〜約60秒)の時間スピンコーティングする。
次いで、層14を焼成して層14の熱架橋反応を生起させる。好ましい焼成条件としては、少なくとも約100℃、好ましくは約100℃〜約250℃、より好ましくは約12O℃〜約200℃の温度で、約10秒〜約90秒の時間が挙げられる。架橋した結像層14の厚みは、典型的に、約10nm〜約150nm、好ましくは約30nm〜約80nmであろう。
上記架橋層14は、典型的なフォトレジスト溶媒(層14を形成するために用いられる感光性組成物中に存在する溶媒を含む)に実質的に不溶性となるように充分に架橋される。したがって、剥離試験をした場合、該架橋層14の剥離パーセントは、約5%未満、好ましくは約1%未満、より一層好ましくは約0%であろう。該剥離試験は、最初に、硬化層の厚み(5ケ所別々での測定値を平均して求められる)を測定することを含む。これが初期平均膜厚である。次に、溶媒(たとえば、乳酸エチル、PGME、PGMEA)を、該硬化膜上に約10秒間塗りつけた後、約2,000−3,500rpmで約20−30秒間スピン乾燥し、溶媒を除去する。エリプソメータを用いて、再び、ウェハ上の5ケ所別々で厚みを測定し、それら測定値の平均を求める。これが最終平均膜厚である。
剥離量は、初期および最終の平均膜厚間の差異である。
剥離パーセント:%剥離=(剥離量/初期平均膜厚)×100
図1の態様において、層14の最適な使用は、通常、単純に結像層としてである。この場合、k値(複素屈折率の虚数成分)は好ましくは約0〜約0.5である。
工程(b)において、マスク18は、結像層14の表面16の上に置かれ、光20をマスク18に向けて照射する。マスク18は、光がマスク18を通過して結像層14の表面16に到達するようにデザインされた開口領域22をもつ。マスク18の残余の実在部分24は、特定領域において、光が結像層14の表面16に到達することを阻むようにデザインされる。開口領域22および実在部分24の配置は、結像層14中、最終的には基板表面12に形成されるべき所望のパターンに応じてデザインされることは当業者であれば容易に理解するであろう。本発明に係るプロセスは、ほとんどの波長のUV光を用いることができるが、波長157nm、193nm、248nm、および365nmが特に好ましい。
露光により、結像層14の光に暴露される部分26は、層14は光化学反応を起こして光化学的に解架橋する。より具体的には、PAGの露光により酸を生じ、該酸は上記層中のポリマー、オリゴマーまたは化合物を“解架橋する”。すなわち、該酸は、熱架橋反応によりポリマー、オリゴマーまたは化合物と架橋剤との間で形成された結合の解離を触媒する。露光後、好ましくは結像層14に露光後の焼成を施す(本明細書において、露光後の焼成は、約150℃未満、好ましくは約140℃未満、より好ましくは約90℃〜約140℃の温度で、約30秒〜約90秒の時間行われる)。
有利なことに上記工程において現像剤に溶解性となっている露光部26を、工程(c)に示すとおり現像剤と接触させる。現像剤は、結像層14の上記露光部26を除去し、その後に開口28を残す。開口28は、孔、溝、空隙などであってよく、最終的には基板10に転写される。本明細書において、“現像剤に溶解性”とは、露光された部分26が実質的に、水酸化テトラメチルアンモニウムおよびKOH現像剤などの一般的に使用される水性現像剤で除去され得ることを意味する。上記露光部の少なくとも約95%、好ましくは少なくとも約99%、より一層好ましくは100%が、水酸化テトラメチルアンモニウムおよび/またはKOH現像剤などの塩基性現像剤で除去されるであろう。
本発明のプロセスは、二重パターニングプロセスである場合に特に有利である。すなわち、コーティング、リソグラフィ処理および現像が施されている積層30は、またもコーティング、リソグラフィ処理および現像され、さらなるパターンを再び生成することができる。工程(d)を参照すると、上面34を有する第2の結像層32を形成するために、熱架橋性でかつ光化学的に解架橋性の組成物を塗布する。結像層14は架橋しているため、この第2のコーティング工程は、先に形成したパターンを無傷のまま保持しつつ行うことが可能である。さらに、この層は、結像層14に施される追加の加熱(硬化処理)工程を必要とせずに無傷を保持する。そのため、本願のこの態様およびすべての態様では、熱架橋反応の間および全ての露光後の焼成の間に唯一の加熱工程を生じる。
上記組成物の塗布は、工程(a)について上記したと同様であればよい。さらに、通常、先の工程(a)で使用される熱架橋性かつ光化学的解架橋性の組成物と同じものであればよいが、必ずしもそうでなくてもよく、所望により、別の組成物(たとえば、一般的に使用されるフォトレジストを第2の感光性組成物とする)を用いることができる。焼成条件は、工程(a)の記載どおりに従えばよく、結像層14の最高位残存部分の頂点での第2の結像層32の厚み“T”も、工程(a)に記載と同様であればよい。
工程(e)において、そこに形成された所望のパターンをもつマスク36を第2の結像層32の表面34を覆って置き、上記工程(b)で記載したとおり露光を繰り返す。第2の結像層32中に露光部38を形成し、該積層40に、好ましくは露光後の焼成を付した後、現像剤と接触させる(工程(f))。この結果、露光部38が除去され、その後に開口42を残す。この開口42もまた、孔、溝または空隙であってよい。基板表面12上に残存する突起部分44は、通常、線または凸形と称される。この孔、溝、空隙、線および凸形のパターンは、最終的に基板10に転写されることになる。
上記コーティング、焼成、露光、任意の露光後の焼成および現像工程は、所望により、かつ基板表面12に特定のパターン46の形成を実現しうるよりも多くの回数繰り返すことができる。基板10および基板表面12上のパターン46を含有する積層48は、次いでエッチング処理(たとえば、プラズマエッチング)が施され、それによりパターン46が基板10に転写され、そして基板10がそこに形成された開口42および部分44を含むことになる。プロセス中、この時点までエッチング工程もまったく必要としないことは理解されるであろう。すなわち、工程(a)−(f)は、まったくエッチングを伴わずに実施することができる。この方法で、約50nm未満のハーフピッチサイズを達成することができる。パターン化した基板は、その後、さらなる製作工程(たとえば、メタライゼーション)が付される。
図2は、本発明のプロセスの第2の態様を示す。すべての態様において、同様の符号は同様の意味を示す。図1で用いられたと同じ組成物、基板、現像剤、およびマスクならびにプロセス条件(たとえば、スピン速度、温度、時間、波長)がこの態様で用いられるだろう。
図2の態様において、上面47をもつフォトレジスト45が、結像層12の熱架橋反応後、結像層12の上面16に適用される(たとえば、スピンコーティングなどで)。露光工程(b)の間、光がレジスト45の部分27を化学的にも変化させ、典型的な現像剤により溶解性とさせる。この露光工程(b)(および任意に露光後の焼成)後、上記積層を現像しない代わりに、直ちに、結像層26およびフォトレジスト45中に第2のパターンを発生させる他のマスク36を用いる第2の露光工程(c)に課す。所望により、他のマスクを用いるさらなる露光工程を行うことができる。所望回数の露光工程が実施された時点で、結像層14およびフォトレジスト45を現像して(工程(d))、パターン層46を得る。その後、デバイス製品を完成するために、一般的に使用されるエッチング、メタライゼーションなどを実施することができうる。
図3の態様において、図2の場合と同様にフォトレジスト45も利用される。露光工程(b)の後、図1の態様と同様に積層を現像する(工程(c))。しかしながら、図1の態様でなされたような第2のコーティング工程を実施するよりもむしろ、結像層14およびフォトレジスト45は、第2のマスク36を用いる第2の露光工程(d)を実施して、層14およびレジスト45の他の部分を露光する。この露光後、結像層14およびレジスト45は、第2の現像工程(e)を行い、該層をさらにパターン化する。この露光−現像シーケンスは所望の回数繰り返すことができ、その後、積層48をエッチングして、パターンを基板10に転写した後、その後のメタライゼーションなどのプロセスを行う。
図2および3の態様において、プロセス中、この時点までエッチング工程もまったく必要としないことはここでも理解されるであろう。すなわち、図2の工程(a)−(d)および図3の工程(a)−(e)は、まったくエッチングを伴わずに実施することができる。さらに、本プロセスは、特定のプロセスのために所望される多種多様なフォトレジスト層(同一または異なる化学的組成物)を用いて繰り返すことができる。
図2および3の態様において、層14は、通常、最下層の反射防止膜として機能することになる。このような場合、架橋層は、吸光性に優れるように調製されるであろう。使用する波長(たとえば、157nm、193nm、248nm、365nm)における架橋層14のn値は、少なくとも約1.3、好ましくは約1.4〜約2.0が望ましく、また、k値は少なくとも約0.1、好ましくは約0.2〜約0.5であろう。使用する波長(たとえば、157nm、193nm、248nm、365nm)における硬化層のODは、少なくとも約5/μm、好ましくは約5−15/μm、より好ましくは約10−15μmであろう。
最下層の反射防止膜として使用する場合、組成物は、硬化または架橋後の層14の厚みが該組成物の初期最大厚みの約20%以内となる量で塗布することが好ましい。組成物の初期最大厚みは次のとおり定義される:
初期最大厚み=λ/2n
ここで、λは使用する波長であり、nは該組成物の屈折率の実数成分である。より好ましくは、該架橋層14の厚みは、組成物の初期最大厚みの約15%以内、より好ましくは約10%以内、さらに好ましくは約5%以内である。感光性組成物をこのような厚みで使用すれば、臨界サイズの制御された構造をプリントする能力およびトポグラフィーを補った反射制御を充分に担保する能力などの改善された特性をもたらす。
本発明の方法で使用するための組成物
上記のとおり、本発明の方法で使用される組成物は、熱架橋性でかつ感光性(すなわち、光化学的解架橋性)とすべきである。好ましい組成物は、光酸発生剤(PAG)、架橋剤(架橋試薬ともいわれる)とともに溶媒系中に溶解または分散された架橋性のポリマー、オリゴマー、および/またはモノマーを含有する。
好適なポリマーとしては、脂肪族ポリマー、アクリラート、メタクリラート、ポリエステル、ポリカーボナート、ノボラック、ポリアミド酸、ポリスルホニルエステル、ポリカーボナート−スルホン(すなわち、−SO2基および−CO3基の両方をもつモノマーの繰り返し単位を含むポリマー)、およびこれらの混合物からなる群より選ばれるものが挙げられる。好適な溶媒系、架橋剤、PAG、および成分量としては、以下で検討されるものがすべて挙げられる。
好適な組成物の1つは、引用により本明細書に記載されているものとされる米国特許出願第11/683,309号に開示されている。この種の組成物は、溶媒系中に溶解または分散されたポリマー、オリゴマー、およびこれらの混合物からなる群より選ばれる化合物を含む。該化合物は、組成物中の全成分の総重量を100重量%とするとき、好ましくは約0.5−10重量%、好ましくは約0.5−5重量%、より好ましくは約14重量%のレベルで組成物中に存在する。
化合物がポリマーの場合には、平均分子量が約1,000−100,000ダルトン、好ましくは約1,000−25,000ダルトンであることが好ましい。好ましいポリマーとしては、脂肪族ポリマー、アクリラート、メタクリラート、ポリエステル、ポリカーボナート、ノボラック、ポリアミド酸、およびこれらの混合物からなる群より選ばれるものが挙げられる。
オリゴマーの場合には、分子量が約500−3,000ダルトン、より好ましくは約500−1,500ダルトンであることが好ましい。好ましいオリゴマーとしては、置換もしくは非置換のアクリラート、メタクリラート、ノボラック、イソシアヌレート、グリシジルエーテル、およびこれらの混合物が挙げられる。
化合物がオリゴマーまたはポリマーであるかに拘らず、またポリマー骨格またはオリゴマーコアの構造に拘らず、化合物は酸機能性基を含有することが好ましい。酸基は、好ましくは、化合物の全重量を100重量%とするとき、少なくとも約5重量%、好ましくは約5−90重量%、より好ましくは約5−50重量%のレベルで化合物中に存在する。好ましい酸基は、カルボン酸(−COOH)などのフェノール以外の基である。
従来技術の組成物と異なり、酸基は、好ましくは保護基で保護されていない。すなわち、酸基の少なくとも約95%、好ましくは少なくとも約98%、より好ましくは約100%は、保護基をもたない。保護基は、酸の反応性を阻止する基である。
本発明では、保護基を必要としないため、化合物は酸感受性ではないことも好ましい。酸感受性ポリマーまたはオリゴマーは、除去されるか、解離されるか、さもなければ酸の存在に転化される保護基を含むものである。
他の態様において、保護された酸基と非保護酸基の組み合わせを用いることができ、このような態様では、保護された酸基の非保護酸基に対するモル比は、約1:3〜約3:1、より好ましくは約1:2〜約1:1である。
組成物が最下層の反射防止膜として使用される態様において、組成物は、発色団(減光性の化合物または部分)を含有する。発色団は、化合物に結合していてもよく(化合物の官能基または直接的にポリマー骨格もしくはオリゴマーコアのいずれか)、または発色団は、単に組成物と機械的に混合されていてもよい。発色団は、化合物の全重量を100重量%とするとき、約5−50重量%、好ましくは約20−40重量%のレベルで組成物に存在することができる。発色団は、組成物が処理される波長に応じて選択することができる。たとえば、波長248nmにおいて、好ましい発色団としては、ナフタレン(たとえば、ナフトエ酸メタクリラート、3,7−ジヒドロキシナフトエ酸)、複素環発色団、カルバゾール、アンスラセン(たとえば、9−アンスラセンメチルメタクリラート、9−アンスラセンカルボン酸)、および前述の官能性部分が挙げられる。波長193nmにおいて、好ましい発色団としては、置換または非置換のフェニル、複素環発色団(たとえば、フラン環、チオフェン環)、および前述の官能性部分が挙げられる。本発明の組成物は架橋剤を含むものも好ましい。
好ましい架橋剤はビニルエーテル架橋剤である。ビニルエーテル架橋剤は多重官能性、より好ましくは3および4官能性であることが好ましい。
好ましいビニルエーテル架橋剤は、下式で示される。
R−(X−O−CH=CH
式中、Rは、アリール(好ましくはC−C12)およびアルキル(好ましくはC1−C18、より好ましくはC1−C10)からなる群より選ばれ、各Xは、独立して、アルキル(好ましくはC1−C18、より好ましくはC1−C10);アルコキシ(好ましくはC1−C18、より好ましくはC1−C10);カルボキシ;およびこれらの2以上の組み合わせからなる群より選ばれ、nは2−6である。特に好ましいビニルエーテル架橋剤としては、エチレングリコールビニルエーテル、トリメチロールプロパントリビニルエーテル、1,4−シクロヘキサンジメタノールジビニルエーテル、およびこれらの混合物からなる群より選ばれるものである。他の好ましいビニルエーテル架橋剤は、下式からなる群より選ばれる。
Figure 2010501881
本発明の組成物は触媒を含むものも好ましい。好ましい触媒は酸発生剤、特にはPAG(イオン性および/または非イオン性のいずれも)である。光の存在下に酸を生成するならばどのようなPAGでも好適である。好ましいPAGとしては、オニウム塩(たとえば、トリフェニルスルホニウムノナフラートおよびトリフェニルスルホニウムトリフラートなどのトリフェニルスルホニウムパーフルオロスルホナート)、オキシムスルホナート(たとえばCIBA社よりCGI(登録商標)の商品名で販売されているもの)、トリアジン(たとえば、みどり化学(株)より入手可能なTAZ108(登録商標))が挙げられる。
本発明の組成物は、組成物中のポリマーおよびオリゴマー固形の全重量を100重量%とするとき、好ましくは約0.1−10重量%の触媒、より好ましくは約1−5重量%の触媒を含有する。
熱的な酸発生剤(“TAG”)を本発明の組成物中に含ませることができるが、好ましい態様の該組成物は、本質的にTAGを含まない。すなわち、組成物の全重量を100重量%とするとき、TAGは、約0.05重量%未満、好ましくはほぼ0重量%のレベルの極微量でしか存在しない。
当然のことながら、本発明の組成物中には、さらに多くの他の任意成分を含ませることができる。典型的な任意成分としては界面活性剤、アミン塩基、および接着促進剤が挙げられる。
この態様の組成物は、単に、ポリマー、オリゴマーまたはそれらの混合物を、好適な溶媒系中に、好ましくは環境条件において、実質的に均質な分散物を形成しうる充分長い時間分散または溶解することにより調製される。他の成分(たとえば、架橋剤、PAG)は、好ましくは、上記化合物とともに溶媒系中に分散または溶解される。
この態様の好ましい溶媒系としては、プロピレングリコールメチルエーテルアセタート(PGMEA)、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールn−プロピルエーテル(PnP)、乳酸エチル(EL)、およびこれらの混合物からなる群より選ばれる溶媒が挙げられる。好ましくは、該溶媒系の沸点は、約50−250℃、より好ましくは約100−175℃である。溶媒系は、組成物の全重量を100重量%とするとき、約80−99重量%、好ましくは約95−99重量%のレベルで用いることが望ましい。
本発明に係る方法において使用するための好適な他の組成物は米国特許第7,108,958号に開示されており、それは引用により本明細書に記載されているものとする。このような態様における組成物としては、ポリカーボナート、ポリスルホニルエステル、およびポリカーボナート−スルホンからなる群より選ばれるポリマーが挙げられる。
ポリマーがポリカーボナートである態様において、好ましいポリカーボナートは、下式で示される繰り返し単位をもつ。
Figure 2010501881

式中、各R1およびR2は、独立して、脂肪族(好ましくはC1−C12)ジオール、芳香族(好ましくはC4−C12)ジオール、および複素環ジオール)を含むジオールの結合性基からなる群より選ばれる。好ましいジオールとしては、ビスフェノール類からなる群より選ばれるものが挙げられる。
一態様において、少なくとも1つのR1およびR2は、ビスフェノール(好ましくはビスフェノールPおよび/またはビスフェノールZ)の結合性基からなる群より選ばれる。この態様において、下式で示される他のR1およびR2が好ましい。
Figure 2010501881

式中、R5はアルキル基(置換もしくは非置換の、好ましくはC1−C12、より好ましくはC1−C6)であり、Arは、アリール基(置換もしくは非置換の、好ましくは少なくともC4、より好ましくはC4−C12、さらに好ましくはC6−C10)。
他の態様では、R1またはR2の1つがビスフェノールAの残基であるとき、他のR1およびR2は、下記以外の基である。
Figure 2010501881
特に好ましいR1およびR2基としては、下記からなる群より選ばれる構造をもつものが挙げられる。
Figure 2010501881
本明細書において、“結合性基”の用語は、それぞれの構造が他の化合物と結合することができるように変化している化合物の残基を称する意味で用いられる。たとえば、下記構造
Figure 2010501881

は、酸素原子が他の化合物または残基に結合できるように化合物中に本来存在する各−OH基由来の水素原子が除去されているビスフェノールAの結合性基と考えられる。
ポリマーがポリスルホニルエステルである態様において、ポリマーは、好ましくは下記構造をもつ。
Figure 2010501881

式中、X1は、ジオールおよびジオキシムの結合性基からなる群より選ばれる。好ましいジオールとしては、脂肪族(好ましくはC1−C12)ジオール、芳香族(好ましくはC4−C12)ジオール、および複素環ジオールが挙げられる。特に好ましいジオールとしては、ビスフェノール類からなる群より選ばれるものである。好ましいジオキシムとしては、脂肪族(好ましくはC1−C12)ジオキシム、芳香族(好ましくはC4−C12)ジオキシム、および複素環ジオキシムが挙げられる。特に好ましいジオキシムとしては、脂肪族ジアミン(NH−炭素鎖−NH)と置換もしくは非置換のヒドロベンズアルデヒドおよびヒドロキシアセチルベンゼンとの縮合により導かれるもの。特に好ましい一例は、1,4−ジアセチルベンゼンジオキシムである。
好ましい態様において、X1は、式−O−Z−O−をもち、ここで、Zは、置換または非置換のアリール(好ましくは少なくともC4、より好ましくはC4−C12、さらに好ましくはC6−C10)、置換または非置換のアルキル(好ましくはC1−C12、より好ましくはC1−C6)、およびそれらの組み合わせからなる群より選ばれる。特に好ましいX1基は、下記からなる群より選ばれる構造をもつ。
Figure 2010501881
式(II)中、X2は、置換または非置換のアリール(好ましくは少なくともC4、より好ましくはC4−C12、さらに好ましくはC6−C10)および置換または非置換のアルキル(好ましくはC1−C12、より好ましくはC1−C6)からなる群より選ばれる。特に好ましいX2基としては、フェニル、ナフチル、フリル、チオニル、およびアンスラニルからなる群より選ばれるものが挙げられる。少なくともX1およびX2の1つは、芳香族部分または他の吸光性基を含むことが好ましい。
ポリマーがポリカーボナートスルホンである態様において、該ポリマーについて下記構造が好ましい。
Figure 2010501881

式中、各R3およびR4は、独立して、置換または非置換のアリール(好ましくは少なくともC4、より好ましくはC4−C12、さらに好ましくはC6−C10)、およびアルキル(好ましくはC1−C12、より好ましくはC1−C6)からなる群より選ばれる。
3およびR4の少なくとも1つは−SO2基を含み、R3およびR4の少なくとも1つは、芳香族部分または他の吸光性基を含むことが好ましい。特に好ましいR3およびR4基として、下記からなる群より選ばれるものが挙げられる。
Figure 2010501881
好ましくは、上記ポリマーは、平均分子量が約1,000−100,000ダルトン、より好ましくは約2,000−50,000ダルトン、さらに好ましくは約2,000−20,000ダルトンである。
この態様の組成物は、単に、ポリマーを好適な溶媒系中に、好ましくは環境条件において、実質的に均質な分散物を形成しうる充分長い時間分散または溶解することにより調製される。好ましい組成物は、組成物の全重量を100重量%とするとき、約1−20重量%のポリマー、好ましくは約2−10重量%のポリマーを含有する。
この態様の溶媒系は、マイクロ電子製造環境での使用に好適であればどのような溶媒でもよい。好ましい溶媒系としては、プロピレングリコールメチルエーテル(PGME)、プロピレングリコールメチルエーテルアセタート(PGMEA)、乳酸エチル、プロピレングリコールn−プロピルエーテル(PnP)、シクロヘキサノン、γ−ブチロラクトン、およびこれらの混合物からなる群より選ばれる溶媒が挙げられる。溶媒は、組成物の全重量を100重量%とするとき、約80−98重量%のレベルで存在することが望ましい。好ましくは、該溶媒系の沸点は、約100−160℃である。
他の付加的成分はいずれも、好ましくは、上記ポリマーとともに溶媒系中に分散される。好適な付加的成分としては、架橋試薬、触媒(たとえば、PAG)、および界面活性剤が例示される。好ましい架橋試薬としては、アミノプラスト(たとえば、POWDERLINK(登録商標)1174、Cymel(登録商標)製品)、多官能性エポキシ樹脂(たとえば、MY720、CY179MA、DENACOL)、無水物、およびこれらの混合物が挙げられる。使用時、架橋試薬は、組成物中の固形分の全重量を100重量%とするとき、約10−50重量%、好ましくは約15−30重量%のレベルで組成物に存在する。
好ましいPAGとしては、イオン性および非イオン性両方のPAGが挙げられる。特に好ましいPAGとして、CGI261、CGI1397、およびCGI1311(チバ・スペシャルティ・ケミカルズ)の商品名で市販されているものなどのスルホン酸型PAGが例示される。使用時、PAGは、組成物中の固形分の全重量を100重量%とするとき、約0.05−10重量%、好ましくは約2−8重量%のレベルで組成物に存在する。
以下の実施例は、本発明に係る好ましい方法を説明するものである。しかしながら、これら実施例は、説明のために示されるものであって、その記載が本発明の全体の範囲をなんら限定するものではないと理解すべきである。
(実施例1)
非吸光性ポリマーを用いて作製されるコーティング剤
1.ポリマーAの調製
本手順では、9.00グラムのシクロヘキシルアクリラート(入手先ポリサイエンス(Polysciences)社、ウォリントン,ペンシルバニア州)および5.01グラムのメタクリル酸(入手先アルドリッチ(Aldrich)社、ミルウォーキー,ウィスコンシン州)を、撹拌棒、窒素入口のある添加ロートおよび窒素出口のあるコンデンサを備えた250mlの2口フラスコ中に加えた。50.00グラムのPGME(入手先ハークロス(Harcross)社、セントルイス,ミズーリ州)を加え、窒素雰囲気下で撹拌して、これら試薬を溶解した。別の容器内に、3.00グラムのジクミルパーオキサイド(入手先アクロス(Acros)社,ニュージャージー)を、36.15グラムのPGME中に溶解し、この溶液を、上記添加ロートに移した。フラスコを油浴に漬し、溶液が還流し始めるまで加熱した。還流中に、ジクミルパーオキサイド溶液をモノマー溶液に添加した。得られた溶液を24時間還流した。かすかに薄黄色の溶液を得た。該溶液を室温まで冷却し、貯蔵用ナルゲン(Nalgene)瓶に移した。テトラヒドロフランを溶媒として用いるゲルパーミエーションクロマトグラフィ(入手先フィッシャー(Fisher)社,フェアローン,ニュージャージ州)による分子量分析では、重量平均分子量17.600ダルトンであった。ポリマーAの光学的性質を表Iに示す。
Figure 2010501881
2.発色団Aの調製
発色団Aを合成するため、10.77グラムのトリス(2,3−エポキシプロピル)イソシアヌレート(入手先アルドリッチ社、ミルウォーキー,ウィスコンシン州)、19.23グラムの3,7−ジヒドロキシ−2−ナフトエ酸(入手先アルドリッチ社、ミルウォーキー,ウィスコンシン州)、0.32グラムのテトラブチルホスホニウムブロマイド(入手先アルドリッチ社、ミルウォーキー,ウィスコンシン州)、および70.0グラムのPGMEを、撹拌棒、窒素入口およびコンデンサを備えた250mlの2口フラスコに加えた。フラスコを油浴中100℃で24時間撹拌し、窒素を流しながら加熱した。冷却後、発色団をおよそ500mlの水中で沈澱させ、100mlの水ですすぎ、真空オーブン中50℃で一夜乾燥した。
3.ビニルエーテル架橋剤の調製
本手順では、25.15gのテトラメチレングリコールモノビニルエーテル、22.91gのトリエチルアミン、および250mlのTHFを、撹拌棒、添加ロート、コンデンサ、および窒素入口および出口を備えた500mlの2口フラスコに加えた。溶液を低速の窒素流下で撹拌し、氷水浴中に漬した。
次に、20.00gの1,3,5−ベンゼントリカルボニルトリクロライドを、密封したアーレンマイヤーフラスコ中の50mlのTHF中に溶解した。この溶液を添加ロートに移した。添加ロートの内容物を、テトラメチレングリコールモノビニルエーテル、トリエチルアミン、およびTHFの撹拌溶液中に滴下した(およそ15分かけて)。接触により白色沈澱が生成した。添加修了後、フラスコを氷水浴から外し、室温(約20℃)でおよそ2時間撹拌した。次いで、フラスコを油浴中に漬し、スラリーを加熱し、3時間還流し続けた。フラスコを加熱浴から外し、室温まで冷却した。
上記スラリーを、吸引ろ過し、黄色溶液を得た。ロータリエバポレータ(rotavap)を用いて黄色溶液を濃縮し、THFを除去した。100mlのジエチルエーテルを用いて黄色油を溶解した。この溶液を、25ml部の12.5%テトラメチルアンモニウムハイドロオキサイド水溶液で2回洗浄して抽出した。次いで、2回の50mlの脱イオン水での洗浄および抽出工程を行った。エーテル層を、沈降させて合わせた。エーテル層を5.0gの活性塩基性アルミナと混合して乾燥した。混合物を1時間撹拌し、重力ろ過した。清澄な黄色液を、ロータリエバポレータ中で濃縮し、黄色の粘性油を得た。
ビニルエーテル架橋剤1,3,5−ベンゼントリカルボン酸のトリス[4−(エテニロキシ)ブチル]エステルは、下記の構造をもつ:
Figure 2010501881
4.コーティング剤1の調製
最下層の反射防止コーティング剤として、1.4364グラムのポリマーA(PGME中の固形分14%)、0.6033グラムの発色団A、39.5923グラムのPGME、9.9058グラムのPGMEA(入手先ハークロス社、セントルイス,ミズーリ州)、0.4737グラムの上記で調製したビニルエーテル架橋剤、0.0232グラムのTPS−OH(入手先みどり化学(株),日本)、および0.0523グラムのBBI−106(入手先みどり化学(株),日本)を含む調合物1を調製し、0.1ミクロン終点フィルターでろ過した。上記調合物を、1,500rpmでシリコン基板上にスピンコートし、165℃で焼成した。193nmにおける光学定数を、可変角分光エリプソメータ(VASE)を用いて測定し、n=1.557およびk=0.216を求めた。レジスト溶媒に対する膜の耐性を試験するため膜を乳酸エチル(“EL”, 入手先ハークロス社、セントルイス,ミズーリ州)ですすぎ、水銀−キセノンランプで露光し、130℃で90秒間露光後焼成し、現像剤(MF−319、入手先ロームアンドハース(Rohm & Haas)、マサチューセッツ州)中に60秒間漬した。下記表IIは、最下層の反射防止コーティング剤1が良好な溶媒耐性をもち、露光後、アルカリ現像剤によってのみ除去することができることを示す。
Figure 2010501881
(実施例2)
吸光性ポリマーを用いて作製されるコーティング剤
1、ポリマーBの調製
本手順では、21.29グラムのスチレン(入手先アルドリッチ社,セントルイス,ミズーリ州)、26.17グラムのt−ブチルメタクリラート(入手先アルドリッチ社,ミルウォーキー,ウィスコンシン州)、25.22グラムのメタクリル酸、および491.84グラムのPGMEを、磁気撹拌棒、温度計、窒素入口をもつ添加ロート、およびコンデンサを備えた1,000mlの3ツ口フラスコ中で合わせた。1.81グラムの2,2’−アゾビス(2−メチルプロピオニトリル)(“AIBN”,入手先アルドリッチ社,ミルウォーキー,ウィスコンシン州)、および164.32グラムのPGMEの溶液を添加ロートに加えた。フラスコを、撹拌および窒素流を備えた油浴中で100℃に加熱した。フラスコの内容物が100℃に達したら、AIBN溶液を反応系に加えた。添加修了時、反応系を100℃で24時間保持した。冷却後、ポリマーをおよそ4リットルのヘキサン中で沈澱した、200mlのヘキサンで2回すすぎ、真空オーブン中、50℃で一夜乾燥した。
2.コーティング剤2の調製
コーティング剤2を作製するため、0.1006グラムのポリマーB、0.302グラムの発色団A、35.5438グラムのPGME、8.8929グラムのPGMEA、0.4737グラムの上記で調製したビニルエーテル架橋剤、0.0309グラムのトリエタノールアミン中和剤(入手先アルドリッチ社,ミルウォーキー,ウィスコンシン州)の10%PGME溶液、および0.0523グラムBBI−106を合わせ、0.1ミクロン終点フィルターでろ過した。上記調合物を、1,500rpmでシリコン基板上にスピンコートし、160℃で焼成した。193nmにおける光学定数をVASEを用いて測定し、n=1.536およびk=0.272を求めた。レジスト溶媒に対する膜の耐性を試験するため膜をELですすぎ、水銀−キセノンランプで露光し、130℃で90秒間露光後焼成し、現像剤(MF−319)中に60秒間漬した。下記表IIIは、最下層の反射防止膜が良好な溶媒耐性をもち、露光後、アルカリ現像剤によってのみ除去することができることを示す。
Figure 2010501881
(実施例3)
最下層の反射防止膜を用いる多重パターニングプロセス
最下層の反射防止コーティング剤3を作製するため、11.226グラムのポリマーB、739.3グラムのPGME、185.0グラムのPGMEA、3.306グラムの上記で調製したビニルエーテル架橋剤、0.859グラムのトリエタノールアミン中和剤の10%PGME溶液、および50%トリフェニルスルホニウムパーフルオロブタンスルホナートと50%トリス−(4−tert−ブチルフェニル)スルホニウムパーフルオロブタンスルホナートの混合物(入手先アルドリッチ社、ミルウォーキー,ウィスコンシン州)0.330グラムを合わせ、0.1ミクロン終点フィルターでろ過した。多重パターニングプロセスを試験するため、この調合物を1,500rpmでシリコン基板上にスピンコートし、160℃で焼成した。1インチ円盤マスクを膜の上に置き、水銀−キセノンランプを用いて露光し、130℃で90秒間露光後の焼成をし、現像剤(MF−319)中に60秒間漬し、脱イオン水ですすぎ、スピン乾燥した。このプロセス後、基板上に、54nm厚みの円プリントが残った。上記露光領域に残存する膜はない。このウェハに、再度コーティングし、上記と同じプロセスを2回繰り返した。得られるウェハは、基板上の別々の場所に3つの円がプリントされた。この実施例は、最後の露光の前にプリントされたすべてのパターンが、多重の露光、焼成、現像、およびすすぎ後でも基板上に残ることを証明する。
(実施例4)
最下層の反射防止膜を用いる多重パターニングプロセス
上記調合物3を用いる二重パターニングの模式図を図2に示す。調合物を1,500rpmでシリコン基板上にスピンコートし、160℃で焼成した。フォトレジスト(AR1682J、入手先JSR社)を、3,200rpmで60秒間、最下層の反射防止膜の上にスピンコートした。次いで、レジストおよび最下層の反射防止膜層を、110℃で60秒間焼成した。試験(接触)マスクをウェハの上に置き、膜を水銀−キセノンランプ下で5秒間露光した(254nm線量計を用いて20mJ/秒で)。その後、マスクをそれまでの向きから約90度回転し、膜をさらに5秒露光する。ウェハは、110℃で60秒間露光後の焼成をした後、現像剤(MF−319)中に60秒間漬した。ウェハを脱イオン水ですすぎ、スピン乾燥した。レジストおよび最下層の反射防止膜層の両方の結像性を示す重複像が観察された。
(実施例5)
最下層の反射防止膜を用いる多重パターニングプロセス
上記調合物3を用いる二重パターニングの模式図を図3に示す。調合物を1,500rpmでシリコン基板上にスピンコートし、160℃で焼成した。フォトレジスト(AR1682J)を、3,200rpmで60秒間、最下層の反射防止膜の上にスピンコートした。次いで、レジストおよび最下層の反射防止膜層を、110℃で60秒間焼成した。試験(接触)マスクをウェハの上に置き、膜を水銀−キセノンランプ下で5秒間露光した(254nm線量計を用いて20mJ/秒で)。ウェハを110℃で60秒間露光後の焼成をした後、現像剤(PD523、入手先モースレイクインダストリーズ(Moses Lake Industries)中に60秒間漬した。ウェハを脱イオン水ですすぎ、スピン乾燥した。第2のフォトレジストコートを塗布した(AR1682J,3200rpm,60秒)。次いで、レジストおよび最下層の反射防止膜を再び110℃で60秒間焼成した。その後、マスクを先回の向きから約90度回転し、膜をさらに5秒露光する。ウェハを110℃で60秒間露光後の焼成をした後、現像剤中に60秒間漬した。ウェハを脱イオン水ですすぎ、スピン乾燥した。レジストおよび反射防止膜の両方の結像性を示す重複像が観察された。

Claims (20)

  1. 下記工程を含むマイクロ電子構造の形成方法:
    (a)表面をもつ基板を準備し;
    (b)前記基板表面の直上に結像層を形成するために感光性組成物を基板表面に塗布する工程であって、該組成物がポリマー、オリゴマー、およびモノマーからなる群より選ばれる成分を含み;
    (c)前記結像層中の前記成分を架橋させ;
    (d)前記結像層を露光して該層中に露光部を生成させ;
    (e)前記層を現像剤と接触させて基板から前記露光部を除去し、結像パターン層を形成し;
    (f)該結像パターン層上に第2の結像層を形成するため、前記結像パターン層を加熱することなく第2の感光性組成物を塗布する。
  2. (e)後の露光後の焼成を除き、(a)、(b)、(d)、(e)または(f)において加熱を生じることがない請求項1に記載の方法。
  3. 結像パターン層および基板は、(f)に先立ってエッチングされることがない請求項1に記載の方法。
  4. 前記結像パターン層が(f)において無傷のままである請求項1に記載の方法。
  5. (c)が前記成分の熱架橋反応を含む請求項1に記載の方法。
  6. 前記第2の感光性組成物が(a)の感光性組成物と同じである請求項1に記載の方法。
  7. 前記第2の感光性組成物がポリマー、オリゴマー、およびモノマーからなる群より選ばれる成分を含み、前記方法がさらに下記工程を含む請求項1に記載の方法:
    (g)前記第2の結像層中の前記成分を架橋させ;
    (h)前記第2の結像層を露光して該第2の結像層中に露光部を生成させ;
    (i)前記第2の結像層を現像剤と接触させて基板から露光部を除去し、第2の結像パターン層を生成させる。
  8. 下記工程をさらに含む請求項7に記載の方法:
    (j)任意に(f)−(i)を1回以上の回数繰り返し;
    (k)結像パターン層のパターンを基板に転写する。
  9. 前記(k)が前記結像パターン層および基板のエッチングを含む請求項8に記載の方法。
  10. 前記感光性組成物が、光酸発生剤、架橋剤、および溶媒系をさらに含み、前記成分、光酸発生剤および架橋剤が該溶媒系に溶解または分散されている請求項1に記載の方法。
  11. 前記架橋剤がビニルエーテル架橋剤である請求項10に記載の方法。
  12. 下記工程を含むマイクロ電子構造の形成方法:
    (a)表面をもつ基板を準備し;
    (b)前記基板表面の直上に結像層を形成するために感光性組成物を基板表面に塗布する工程であって、該組成物がポリマー、オリゴマー、およびモノマーからなる群より選ばれる成分を含み;
    (c)前記結像層中の前記成分を架橋させ;
    (d)前記結像層を露光して該層中に露光部を生成させ;
    (e)前記結像層の追加部分を露光し、該層中にさらなる露光部を生成させ;
    (f)任意に(e)を繰り返し;
    (g)基板から前記露光部を除去して結像パターン層を得るため前記層を現像剤と接触させる。
  13. 下記工程をさらに含む請求項12に記載の方法:
    (h)(d)の後かつ(e)の前に、基板から前記(d)の露光部を除去し、結像パターン層を得るため、前記層を現像剤と接触させる。
  14. (d)および/または(e)後の露光後の焼成を除き、(a)、(b)、(d)、(e)、(f)または(g)の間に加熱を生じることがない、請求項12に記載の方法。
  15. 前記結像パターン層および基板は、(g)に先立ってエッチングされることがない請求項12に記載の方法。
  16. (c)が前記成分の熱架橋反応を含む請求項12に記載の方法。
  17. (g)の後、結像パターン層のパターンを基板に転写することをさらに含む請求項12に記載の方法。
  18. 前記転写が前記結像パターン層および基板のエッチングを含む請求項17に記載の方法。
  19. 前記感光性組成物が、光酸発生剤、架橋剤、および溶媒系をさらに含み、前記成分、光酸発生剤および架橋剤が該溶媒系に溶解または分散されている請求項12に記載の方法。
  20. 前記架橋剤がビニルエーテル架橋剤である請求項19に記載の方法。
JP2009524799A 2006-08-18 2007-08-16 多重パターニングプロセスのための反射防止結像層 Active JP5070287B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US82282306P 2006-08-18 2006-08-18
US60/822,823 2006-08-18
US11/839,317 US7914974B2 (en) 2006-08-18 2007-08-15 Anti-reflective imaging layer for multiple patterning process
US11/839,317 2007-08-15
PCT/US2007/076078 WO2008022245A1 (en) 2006-08-18 2007-08-16 Anti-reflective imaging layer for multiple patterning process

Publications (2)

Publication Number Publication Date
JP2010501881A true JP2010501881A (ja) 2010-01-21
JP5070287B2 JP5070287B2 (ja) 2012-11-07

Family

ID=39082361

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009524799A Active JP5070287B2 (ja) 2006-08-18 2007-08-16 多重パターニングプロセスのための反射防止結像層

Country Status (6)

Country Link
US (1) US7914974B2 (ja)
EP (1) EP2070107B1 (ja)
JP (1) JP5070287B2 (ja)
KR (1) KR101506353B1 (ja)
TW (1) TWI453792B (ja)
WO (1) WO2008022245A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010278204A (ja) * 2009-05-28 2010-12-09 Oki Semiconductor Co Ltd レジストパターンの形成方法
JP2014071304A (ja) * 2012-09-28 2014-04-21 Fujifilm Corp パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、電子デバイスの製造方法及び電子デバイス
JP2015517126A (ja) * 2012-04-23 2015-06-18 ブルーワー サイエンス アイ エヌシー. 感光性、現像液可溶性の底面反射防止膜材料

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050255410A1 (en) * 2004-04-29 2005-11-17 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
EP2245512B1 (en) 2008-01-29 2019-09-11 Brewer Science, Inc. On-track process for patterning hardmask by multiple dark field exposures
WO2009132023A2 (en) * 2008-04-23 2009-10-29 Brewer Science Inc. Photosensitive hardmask for microlithography
JP5384852B2 (ja) * 2008-05-09 2014-01-08 株式会社日立国際電気 半導体装置の製造方法及び半導体製造装置
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US7989346B2 (en) * 2009-07-27 2011-08-02 Adam Letize Surface treatment of silicon
US8632948B2 (en) * 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US8697346B2 (en) * 2010-04-01 2014-04-15 The Regents Of The University Of Colorado Diffraction unlimited photolithography
WO2012018983A2 (en) * 2010-08-05 2012-02-09 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
JP2014507795A (ja) 2010-12-27 2014-03-27 ブルーワー サイエンス アイ エヌ シー. 高度なパターン形成に必要な小型フィーチャのパターン形成プロセス
US8440523B1 (en) * 2011-12-07 2013-05-14 International Business Machines Corporation Micromechanical device and methods to fabricate same using hard mask resistant to structure release etch
EP3015469B1 (en) 2014-10-30 2018-12-19 Idemitsu Kosan Co., Ltd. 5-(benzimidazol-2-yl)benzimidazo[1,2-a]benzimidazoles for electronic applications
EP3721211A4 (en) 2017-12-06 2021-08-18 California Institute of Technology SYSTEM FOR ANALYSIS OF A TEST SAMPLE AND PROCEDURE FOR IT

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60231327A (ja) * 1984-04-30 1985-11-16 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション レジスト・パタ−ンの形成方法
JPH04151155A (ja) * 1990-10-15 1992-05-25 Seiko Epson Corp 半導体装置の製造方法
JPH05326358A (ja) * 1992-05-18 1993-12-10 Sony Corp 微細パターン形成方法
JP2004054286A (ja) * 2002-07-23 2004-02-19 Samsung Electronics Co Ltd フォトレジスト用現像液に溶解される有機底部反射防止組成物及びそれを利用した写真エッチング方法
WO2005093513A2 (en) * 2004-03-25 2005-10-06 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
WO2005111719A2 (en) * 2004-04-29 2005-11-24 Brewer Science Inc. Anti-reflective coatings using vinyl ether crosslinkers

Family Cites Families (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4175175A (en) 1963-07-16 1979-11-20 Union Carbide Corporation Polyarylene polyethers
USB392136I5 (ja) 1964-08-26
US3561962A (en) 1966-09-01 1971-02-09 Xerox Corp Method of image reproduction by photo-polymerization and blushing
US3629036A (en) 1969-02-14 1971-12-21 Shipley Co The method coating of photoresist on circuit boards
US3682641A (en) 1970-03-23 1972-08-08 Du Pont Photoresist developer extender baths containing polyoxyalkylene ethers and esters and process of use
US3615615A (en) 1970-04-13 1971-10-26 Eastman Kodak Co Photographic emulsions including reactive quaternary salts
US3833374A (en) 1970-07-14 1974-09-03 Metalphoto Corp Coloring of anodized aluminum
US3894163A (en) 1971-03-08 1975-07-08 Western Electric Co Additives to negative photoresists which increase the sensitivity thereof
US3856751A (en) 1972-06-14 1974-12-24 Eastman Kodak Co Diacid-xanthylium ion polyester and photographic element comprised thereof
US3873361A (en) 1973-11-29 1975-03-25 Ibm Method of depositing thin film utilizing a lift-off mask
US3976524A (en) 1974-06-17 1976-08-24 Ibm Corporation Planarization of integrated circuit surfaces through selective photoresist masking
CA1077787A (en) 1975-11-21 1980-05-20 National Aeronautics And Space Administration Abrasion resistant coatings for plastic surfaces
DE2861696D1 (en) 1977-09-07 1982-04-29 Ici Plc Thermoplastic aromatic polyetherketones, a method for their preparation and their application as electrical insulants
JPS5471579A (en) 1977-11-17 1979-06-08 Matsushita Electric Ind Co Ltd Electron beam resist
US4244799A (en) 1978-09-11 1981-01-13 Bell Telephone Laboratories, Incorporated Fabrication of integrated circuits utilizing thick high-resolution patterns
US4369090A (en) 1980-11-06 1983-01-18 Texas Instruments Incorporated Process for etching sloped vias in polyimide insulators
US4430419A (en) 1981-01-22 1984-02-07 Nippon Telegraph & Telephone Public Corporation Positive resist and method for manufacturing a pattern thereof
US4397722A (en) 1981-12-31 1983-08-09 International Business Machines Corporation Polymers from aromatic silanes and process for their preparation
US4910122A (en) 1982-09-30 1990-03-20 Brewer Science, Inc. Anti-reflective coating
US4526856A (en) 1983-05-23 1985-07-02 Allied Corporation Low striation positive diazoketone resist composition with cyclic ketone(s) and aliphatic alcohol as solvents
US4996247A (en) 1984-02-10 1991-02-26 General Electric Company Enhancing color stability to sterilizing radiation of polymer compositions
JPS60262150A (ja) 1984-06-11 1985-12-25 Nippon Telegr & Teleph Corp <Ntt> 三層レジスト用中間層材料及びそれを用いた三層レジストパタン形成方法
DE3425063A1 (de) 1984-07-07 1986-02-06 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt Maske fuer die roentgenlithographie
US4578328A (en) 1984-07-09 1986-03-25 General Electric Company Photopatternable polyimide compositions and method for making
US4683024A (en) 1985-02-04 1987-07-28 American Telephone And Telegraph Company, At&T Bell Laboratories Device fabrication method using spin-on glass resins
US4732841A (en) 1986-03-24 1988-03-22 Fairchild Semiconductor Corporation Tri-level resist process for fine resolution photolithography
US4742152A (en) 1986-05-27 1988-05-03 United Technologies Corporation High temperature fluorinated polyimides
US5091047A (en) 1986-09-11 1992-02-25 National Semiconductor Corp. Plasma etching using a bilayer mask
US4808513A (en) 1987-04-06 1989-02-28 Morton Thiokol, Inc. Method of developing a high contrast, positive photoresist using a developer containing alkanolamine
US4927736A (en) 1987-07-21 1990-05-22 Hoechst Celanese Corporation Hydroxy polyimides and high temperature positive photoresists therefrom
JP2557898B2 (ja) 1987-07-31 1996-11-27 株式会社東芝 半導体装置
US5137780A (en) 1987-10-16 1992-08-11 The Curators Of The University Of Missouri Article having a composite insulative coating
US4803147A (en) 1987-11-24 1989-02-07 Hoechst Celanese Corporation Photosensitive polyimide polymer compositions
US4845265A (en) 1988-02-29 1989-07-04 Allied-Signal Inc. Polyfunctional vinyl ether terminated ester oligomers
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
US5304626A (en) 1988-06-28 1994-04-19 Amoco Corporation Polyimide copolymers containing 3,3',4,4'-tetracarboxybiphenyl dianhydride (BPDA) moieties
JPH0260934A (ja) 1988-06-28 1990-03-01 Amoco Corp 中間層絶縁体および基板被膜用の低誘電率、低水分吸収ポリイミドおよびコポリイミド
DE3835737A1 (de) 1988-10-20 1990-04-26 Ciba Geigy Ag Positiv-fotoresists mit erhoehter thermischer stabilitaet
US5024922A (en) 1988-11-07 1991-06-18 Moss Mary G Positive working polyamic acid/imide and diazoquinone photoresist with high temperature pre-bake
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
US5057399A (en) 1989-03-31 1991-10-15 Tony Flaim Method for making polyimide microlithographic compositions soluble in alkaline media
US5198153A (en) 1989-05-26 1993-03-30 International Business Machines Corporation Electrically conductive polymeric
US5246782A (en) 1990-12-10 1993-09-21 The Dow Chemical Company Laminates of polymers having perfluorocyclobutane rings and polymers containing perfluorocyclobutane rings
KR950011927B1 (ko) 1989-12-07 1995-10-12 가부시끼가이샤 도시바 감광성 조성물 및 수지봉지형 반도체장치
US5126231A (en) 1990-02-26 1992-06-30 Applied Materials, Inc. Process for multi-layer photoresist etching with minimal feature undercut and unchanging photoresist load during etch
US5066566A (en) 1990-07-31 1991-11-19 At&T Bell Laboratories Resist materials
JP3041972B2 (ja) 1991-01-10 2000-05-15 富士通株式会社 半導体装置の製造方法
DE69331471T2 (de) 1992-07-22 2002-06-20 Asahi Chemical Ind Photoempfindliche Polyimidvorlaüferzusammensetzung
US5370969A (en) 1992-07-28 1994-12-06 Sharp Kabushiki Kaisha Trilayer lithographic process
JPH06230574A (ja) 1993-02-05 1994-08-19 Fuji Photo Film Co Ltd ポジ型感光性組成物
US5443941A (en) 1993-03-01 1995-08-22 National Semiconductor Corporation Plasma polymer antireflective coating
US5397684A (en) 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
JPH07183194A (ja) 1993-12-24 1995-07-21 Sony Corp 多層レジストパターン形成方法
US5691101A (en) * 1994-03-15 1997-11-25 Kabushiki Kaisha Toshiba Photosensitive composition
GB2288184A (en) 1994-03-31 1995-10-11 Catalysts & Chem Ind Co Coating composition
US5667940A (en) * 1994-05-11 1997-09-16 United Microelectronics Corporation Process for creating high density integrated circuits utilizing double coating photoresist mask
JP3033443B2 (ja) 1994-06-29 2000-04-17 信越化学工業株式会社 反射防止膜材料
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH08110638A (ja) * 1994-10-13 1996-04-30 Hitachi Chem Co Ltd 感光性樹脂組成物およびレジスト像の製造法
US5688987A (en) 1994-11-09 1997-11-18 Brewer Science, Inc. Non-subliming Mid-UV dyes and ultra-thin organic arcs having differential solubility
US5554473A (en) 1994-11-23 1996-09-10 Mitsubishi Chemical America, Inc. Photoreceptor having charge transport layers containing a copolycarbonate and layer containing same
US5542971A (en) 1994-12-01 1996-08-06 Pitney Bowes Bar codes using luminescent invisible inks
US5545588A (en) 1995-05-05 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of using disposable hard mask for gate critical dimension control
DE69628613T2 (de) 1995-07-12 2004-04-29 Mitsubishi Engineering-Plastics Corp. Polycarbonatharzzusammensetzung
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
KR100206597B1 (ko) 1995-12-29 1999-07-01 김영환 반도체 장치의 미세패턴 제조방법
KR100223329B1 (ko) 1995-12-29 1999-10-15 김영환 반도체 소자의 미세 패턴 제조방법
DE69708787T2 (de) 1996-03-06 2002-06-20 Clariant Finance Bvi Ltd Verfahren zur herstellung von filmmustern unter anwendung der abhebetechnologie
KR970071126A (ko) * 1996-04-01 1997-11-07 김광호 이중 포토레지스트를 이용한 패턴 형성방법
US5633210A (en) 1996-04-29 1997-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming damage free patterned layers adjoining the edges of high step height apertures
US5807790A (en) 1996-05-07 1998-09-15 Advanced Micro Devices, Inc. Selective i-line BARL etch process
US5861231A (en) 1996-06-11 1999-01-19 Shipley Company, L.L.C. Copolymers and photoresist compositions comprising copolymer resin binder component
US5739254A (en) 1996-08-29 1998-04-14 Xerox Corporation Process for haloalkylation of high performance polymers
US5952448A (en) 1996-12-31 1999-09-14 Korea Research Institute Of Chemical Technology Stable precursor of polyimide and a process for preparing the same
TW432257B (en) 1997-01-31 2001-05-01 Shinetsu Chemical Co High molecular weight silicone compound, chemically amplified positive resist composition and patterning method
US6232386B1 (en) 1997-02-26 2001-05-15 Integument Technologies, Inc. Polymer composites having an oxyhalo surface and methods for making same
JP3766165B2 (ja) * 1997-03-07 2006-04-12 株式会社ニコン 画像形成方法及び感光材料
EP0973957A4 (en) 1997-03-07 2004-04-28 Corning Inc PROCESS FOR THE MANUFACTURE OF FUSED SILICA DOPED WITH TITANIUM OXIDE
TW473653B (en) 1997-05-27 2002-01-21 Clariant Japan Kk Composition for anti-reflective film or photo absorption film and compound used therein
US6428894B1 (en) 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6054254A (en) 1997-07-03 2000-04-25 Kabushiki Kaisha Toshiba Composition for underlying film and method of forming a pattern using the film
JP3473887B2 (ja) 1997-07-16 2003-12-08 東京応化工業株式会社 反射防止膜形成用組成物及びそれを用いたレジストパターンの形成方法
US6124077A (en) 1997-09-05 2000-09-26 Kansai Paint Co., Ltd. Visible light-sensitive compositions and pattern formation process
KR100566042B1 (ko) 1997-10-07 2006-05-25 간사이 페인트 가부시키가이샤 포지티브형전착포토레지스트조성물및패턴의제조방법
US6218292B1 (en) 1997-12-18 2001-04-17 Advanced Micro Devices, Inc. Dual layer bottom anti-reflective coating
US6338936B1 (en) * 1998-02-02 2002-01-15 Taiyo Ink Manufacturing Co., Ltd. Photosensitive resin composition and method for formation of resist pattern by use thereof
US5998569A (en) 1998-03-17 1999-12-07 International Business Machines Corporation Environmentally stable optical filter materials
US6156665A (en) 1998-04-13 2000-12-05 Lucent Technologies Inc. Trilayer lift-off process for semiconductor device metallization
US6451498B1 (en) * 1998-05-28 2002-09-17 Atotech Deutschland Gmbh Photosensitive composition
JP3673399B2 (ja) 1998-06-03 2005-07-20 クラリアント インターナショナル リミテッド 反射防止コーティング用組成物
US6063547A (en) 1998-06-11 2000-05-16 Chartered Semiconductor Manufacturing, Ltd. Physical vapor deposition poly-p-phenylene sulfide film as a bottom anti-reflective coating on polysilicon
US6121098A (en) 1998-06-30 2000-09-19 Infineon Technologies North America Corporation Semiconductor manufacturing method
US6976904B2 (en) 1998-07-09 2005-12-20 Li Family Holdings, Ltd. Chemical mechanical polishing slurry
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6071662A (en) 1998-07-23 2000-06-06 Xerox Corporation Imaging member with improved anti-curl backing layer
TWI250379B (en) 1998-08-07 2006-03-01 Az Electronic Materials Japan Chemical amplified radiation-sensitive composition which contains onium salt and generator
US6380611B1 (en) 1998-09-03 2002-04-30 Micron Technology, Inc. Treatment for film surface to reduce photo footing
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6410209B1 (en) 1998-09-15 2002-06-25 Shipley Company, L.L.C. Methods utilizing antireflective coating compositions with exposure under 200 nm
US6361833B1 (en) 1998-10-28 2002-03-26 Henkel Corporation Composition and process for treating metal surfaces
US6165695A (en) 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
US6127070A (en) 1998-12-01 2000-10-03 Advanced Micro Devices, Inc. Thin resist with nitride hard mask for via etch application
US6162587A (en) 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6156658A (en) 1998-12-02 2000-12-05 Advanced Micro Devices, Inc. Ultra-thin resist and silicon/oxide hard mask for metal etch
US6200907B1 (en) 1998-12-02 2001-03-13 Advanced Micro Devices, Inc. Ultra-thin resist and barrier metal/oxide hard mask for metal etch
US6020269A (en) 1998-12-02 2000-02-01 Advanced Micro Devices, Inc. Ultra-thin resist and nitride/oxide hard mask for metal etch
US6171763B1 (en) 1998-12-02 2001-01-09 Advanced Micro Devices, Inc. Ultra-thin resist and oxide/nitride hard mask for metal etch
US6306560B1 (en) 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
US6309926B1 (en) 1998-12-04 2001-10-30 Advanced Micro Devices Thin resist with nitride hard mask for gate etch application
US6046112A (en) 1998-12-14 2000-04-04 Taiwan Semiconductor Manufacturing Company Chemical mechanical polishing slurry
US6207238B1 (en) 1998-12-16 2001-03-27 Battelle Memorial Institute Plasma enhanced chemical deposition for high and/or low index of refraction polymers
US6251562B1 (en) 1998-12-23 2001-06-26 International Business Machines Corporation Antireflective polymer and method of use
KR100363695B1 (ko) 1998-12-31 2003-04-11 주식회사 하이닉스반도체 유기난반사방지중합체및그의제조방법
US6136511A (en) 1999-01-20 2000-10-24 Micron Technology, Inc. Method of patterning substrates using multilayer resist processing
US6136679A (en) 1999-03-05 2000-10-24 Taiwan Semiconductor Manufacturing Company Gate micro-patterning process
US6316165B1 (en) 1999-03-08 2001-11-13 Shipley Company, L.L.C. Planarizing antireflective coating compositions
US6426125B1 (en) 1999-03-17 2002-07-30 General Electric Company Multilayer article and method of making by ARC plasma deposition
US6458509B1 (en) 1999-04-30 2002-10-01 Toagosei Co., Ltd. Resist compositions
US6616692B1 (en) 1999-04-30 2003-09-09 Advanced Medical Optics, Inc. Intraocular lens combinations
US6890448B2 (en) 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6110653A (en) 1999-07-26 2000-08-29 International Business Machines Corporation Acid sensitive ARC and method of use
JP4512217B2 (ja) 1999-08-20 2010-07-28 富士フイルム株式会社 アリールシラン化合物、発光素子材料およびそれを使用した発光素子
KR100708491B1 (ko) 1999-08-26 2007-04-16 브레우어 사이언스 인코포레이션 듀얼 다마신 공정을 위한 개선된 충전 조성물을 포함하는 기판구조체, 충전조성물의 도포방법, 충전조성물의 적합성 결정방법, 및 전구체 구조체
US6852473B2 (en) * 2000-01-12 2005-02-08 Infineon Technologies Richmond, Lp Anti-reflective coating conformality control
US20020009599A1 (en) 2000-01-26 2002-01-24 Welch Cletus N. Photochromic polyurethane coating and articles having such a coating
TW439118B (en) 2000-02-10 2001-06-07 Winbond Electronics Corp Multilayer thin photoresist process
WO2001063358A1 (en) 2000-02-22 2001-08-30 Brewer Science, Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
US6461717B1 (en) 2000-04-24 2002-10-08 Shipley Company, L.L.C. Aperture fill
JP2001338926A (ja) 2000-05-29 2001-12-07 Sony Corp 半導体装置の製造方法
JP2001344732A (ja) 2000-05-29 2001-12-14 Fujitsu Ltd 磁気記録媒体用基板及びその製造方法、並びに磁気記録媒体の評価方法
TW556047B (en) 2000-07-31 2003-10-01 Shipley Co Llc Coated substrate, method for forming photoresist relief image, and antireflective composition
KR100917101B1 (ko) 2000-08-04 2009-09-15 도요 보세키 가부시키가이샤 플렉시블 금속적층체 및 그 제조방법
KR100828313B1 (ko) 2000-09-19 2008-05-08 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 반사방지 조성물
US6455416B1 (en) 2000-10-24 2002-09-24 Advanced Micro Devices, Inc. Developer soluble dyed BARC for dual damascene process
US20030054117A1 (en) 2001-02-02 2003-03-20 Brewer Science, Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6444582B1 (en) 2001-02-05 2002-09-03 United Microelectronics Corp. Methods for removing silicon-oxy-nitride layer and wafer surface cleaning
WO2002066539A1 (en) 2001-02-16 2002-08-29 Dominion Energy, Inc. Poly amic acid system for polyimides
US6309955B1 (en) 2001-02-16 2001-10-30 Advanced Micro Devices, Inc. Method for using a CVD organic barc as a hard mask during via etch
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
TW495839B (en) * 2001-03-12 2002-07-21 Nanya Technology Corp Multiple exposure method
TW576859B (en) 2001-05-11 2004-02-21 Shipley Co Llc Antireflective coating compositions
US6680252B2 (en) 2001-05-15 2004-01-20 United Microelectronics Corp. Method for planarizing barc layer in dual damascene process
WO2002096628A2 (en) 2001-05-29 2002-12-05 Essilor International Compagnie Generale D'optique Method for forming on-site a coated optical article
US6605545B2 (en) 2001-06-01 2003-08-12 United Microelectronics Corp. Method for forming hybrid low-K film stack to avoid thermal stress effect
US6458705B1 (en) 2001-06-06 2002-10-01 United Microelectronics Corp. Method for forming via-first dual damascene interconnect structure
US6548387B2 (en) 2001-07-20 2003-04-15 United Microelectronics Corporation Method for reducing hole defects in the polysilicon layer
US6624068B2 (en) 2001-08-24 2003-09-23 Texas Instruments Incorporated Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
US6586560B1 (en) 2001-09-18 2003-07-01 Microchem Corp. Alkaline soluble maleimide-containing polymers
EP1448669B1 (en) 2001-09-27 2010-04-07 LG Chem Ltd. Adhesive composition comprising a polyimide copolymer and method for preparing the same
KR100465866B1 (ko) 2001-10-26 2005-01-13 주식회사 하이닉스반도체 유기반사방지막 조성물 및 그의 제조방법
US6916537B2 (en) 2001-11-01 2005-07-12 Transitions Optical Inc. Articles having a photochromic polymeric coating
JP2003162065A (ja) 2001-11-26 2003-06-06 Mitsubishi Electric Corp 露光装置、露光マスク、露光方法、表示装置及び電子部品
JP3773445B2 (ja) 2001-12-19 2006-05-10 セントラル硝子株式会社 含フッ素脂環族ジアミンおよびこれを用いた重合体
US6844131B2 (en) 2002-01-09 2005-01-18 Clariant Finance (Bvi) Limited Positive-working photoimageable bottom antireflective coating
US20030215736A1 (en) 2002-01-09 2003-11-20 Oberlander Joseph E. Negative-working photoimageable bottom antireflective coating
US7070914B2 (en) 2002-01-09 2006-07-04 Az Electronic Materials Usa Corp. Process for producing an image using a first minimum bottom antireflective coating composition
US7261997B2 (en) 2002-01-17 2007-08-28 Brewer Science Inc. Spin bowl compatible polyamic acids/imides as wet developable polymer binders for anti-reflective coatings
US6488509B1 (en) 2002-01-23 2002-12-03 Taiwan Semiconductor Manufacturing Company Plug filling for dual-damascene process
KR20030068729A (ko) 2002-02-16 2003-08-25 삼성전자주식회사 반사 방지용 광흡수막 형성 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
US6911293B2 (en) 2002-04-11 2005-06-28 Clariant Finance (Bvi) Limited Photoresist compositions comprising acetals and ketals as solvents
US6852474B2 (en) 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6849293B2 (en) 2002-05-02 2005-02-01 Institute Of Microelectronics Method to minimize iso-dense contact or via gap filling variation of polymeric materials in the spin coat process
US7265431B2 (en) 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6638853B1 (en) 2002-07-03 2003-10-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for avoiding photoresist resist residue on semioconductor feature sidewalls
US7108958B2 (en) 2002-07-31 2006-09-19 Brewer Science Inc. Photosensitive bottom anti-reflective coatings
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6821689B2 (en) * 2002-09-16 2004-11-23 Numerical Technologies Using second exposure to assist a PSM exposure in printing a tight space adjacent to large feature
US20040077173A1 (en) 2002-10-17 2004-04-22 Swaminathan Sivakumar Using water soluble bottom anti-reflective coating
KR100487948B1 (ko) 2003-03-06 2005-05-06 삼성전자주식회사 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
KR100539494B1 (ko) 2003-05-02 2005-12-29 한국전자통신연구원 전기광학 및 비선형 광학 고분자로서의 곁사슬형폴리아미드 에스테르, 그것의 제조 방법 및 그것으로부터제조된 필름
US7235348B2 (en) 2003-05-22 2007-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP4796498B2 (ja) 2003-05-23 2011-10-19 ダウ コーニング コーポレーション 高い湿式エッチング速度を持つシロキサン樹脂系反射防止被覆組成物
US7364832B2 (en) 2003-06-11 2008-04-29 Brewer Science Inc. Wet developable hard mask in conjunction with thin photoresist for micro photolithography
US7074527B2 (en) 2003-09-23 2006-07-11 Freescale Semiconductor, Inc. Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
KR101189397B1 (ko) 2003-10-15 2012-10-11 브레우어 사이언스 인코포레이션 비아-퍼스트 듀얼 다마신 적용예에서 사용되는 현상제에 용해성인 물질 및 상기 물질 사용 방법
US20070207406A1 (en) 2004-04-29 2007-09-06 Guerrero Douglas J Anti-reflective coatings using vinyl ether crosslinkers
US7012028B2 (en) * 2004-07-26 2006-03-14 Texas Instruments Incorporated Transistor fabrication methods using reduced width sidewall spacers
KR100639680B1 (ko) * 2005-01-17 2006-10-31 삼성전자주식회사 반도체 소자의 미세 패턴 형성방법
US20070018286A1 (en) * 2005-07-14 2007-01-25 Asml Netherlands B.V. Substrate, lithographic multiple exposure method, machine readable medium
KR20070087356A (ko) 2006-02-23 2007-08-28 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
US20070212649A1 (en) * 2006-03-07 2007-09-13 Asml Netherlands B.V. Method and system for enhanced lithographic patterning
US7767385B2 (en) * 2006-03-09 2010-08-03 International Business Machines Corporation Method for lithography for optimizing process conditions
JP5112733B2 (ja) 2006-04-11 2013-01-09 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトリソグラフィ用コーティング組成物
US7429533B2 (en) * 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
TWI493598B (zh) * 2007-10-26 2015-07-21 Applied Materials Inc 利用光阻模板遮罩的倍頻方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60231327A (ja) * 1984-04-30 1985-11-16 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション レジスト・パタ−ンの形成方法
JPH04151155A (ja) * 1990-10-15 1992-05-25 Seiko Epson Corp 半導体装置の製造方法
JPH05326358A (ja) * 1992-05-18 1993-12-10 Sony Corp 微細パターン形成方法
JP2004054286A (ja) * 2002-07-23 2004-02-19 Samsung Electronics Co Ltd フォトレジスト用現像液に溶解される有機底部反射防止組成物及びそれを利用した写真エッチング方法
WO2005093513A2 (en) * 2004-03-25 2005-10-06 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
WO2005111719A2 (en) * 2004-04-29 2005-11-24 Brewer Science Inc. Anti-reflective coatings using vinyl ether crosslinkers

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010278204A (ja) * 2009-05-28 2010-12-09 Oki Semiconductor Co Ltd レジストパターンの形成方法
JP2015517126A (ja) * 2012-04-23 2015-06-18 ブルーワー サイエンス アイ エヌシー. 感光性、現像液可溶性の底面反射防止膜材料
JP2014071304A (ja) * 2012-09-28 2014-04-21 Fujifilm Corp パターン形成方法、感活性光線性又は感放射線性樹脂組成物、レジスト膜、電子デバイスの製造方法及び電子デバイス
US9513547B2 (en) 2012-09-28 2016-12-06 Fujifilm Corporation Pattern forming method, actinic ray-sensitive or radiation-sensitive resin composition, resist film, method for manufacturing electronic device, and electronic device

Also Published As

Publication number Publication date
TWI453792B (zh) 2014-09-21
EP2070107A1 (en) 2009-06-17
WO2008022245A1 (en) 2008-02-21
TW200811922A (en) 2008-03-01
US20080044772A1 (en) 2008-02-21
US7914974B2 (en) 2011-03-29
KR20090051759A (ko) 2009-05-22
EP2070107A4 (en) 2010-09-08
EP2070107B1 (en) 2016-12-14
KR101506353B1 (ko) 2015-03-26
JP5070287B2 (ja) 2012-11-07

Similar Documents

Publication Publication Date Title
JP5070287B2 (ja) 多重パターニングプロセスのための反射防止結像層
TWI304519B (en) Negative-working photoimageable bottom antireflective coating
CN1942826B (zh) 正性操作的可光成像的底部抗反射涂层
TWI295412B (en) Positive-working photoimageable bottom antireflective coating
TWI430051B (zh) 用於193奈米微影術之雙層光敏顯影劑可溶抗反射底塗層
JP5840954B2 (ja) 酸感応性、現像剤可溶性の下層反射防止膜
JP5511887B2 (ja) ビニルエーテル架橋剤を用いた反射防止膜
JP4509106B2 (ja) ビニルエーテル化合物を含む反射防止膜形成組成物
JP5357186B2 (ja) 多重暗視野露光によるハードマスクのパターン形成のためのオントラックプロセス
EP2841513B1 (en) Photosensitive, developer-soluble bottom anti-reflective coating material
TWI438575B (zh) 以可交聯聚合物為主之底層塗覆組合物
JP2010520516A (ja) ビニルエーテル架橋剤を使用した反射防止膜

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100715

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120214

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120305

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120423

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120724

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120820

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150824

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5070287

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250