JP2009510781A - ラスターパターン生成器における配置効果補正 - Google Patents

ラスターパターン生成器における配置効果補正 Download PDF

Info

Publication number
JP2009510781A
JP2009510781A JP2008533464A JP2008533464A JP2009510781A JP 2009510781 A JP2009510781 A JP 2009510781A JP 2008533464 A JP2008533464 A JP 2008533464A JP 2008533464 A JP2008533464 A JP 2008533464A JP 2009510781 A JP2009510781 A JP 2009510781A
Authority
JP
Japan
Prior art keywords
compressed
grid
dose correction
correction multiplier
spray
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008533464A
Other languages
English (en)
Other versions
JP2009510781A5 (ja
Inventor
リチャード, エル. ロゼス,
ベンジャミン ブラー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009510781A publication Critical patent/JP2009510781A/ja
Publication of JP2009510781A5 publication Critical patent/JP2009510781A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31769Proximity effect correction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography
    • H01J2237/31796Problems associated with lithography affecting resists
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Electron Beam Exposure (AREA)

Abstract

フラッシュ生成方法。該方法は、レジスト帯電に対する変位ベクトルを算出するステップを含んでいる。該変位ベクトルはδc = dP(R)Kとして定義されており、ここでδcは該変位ベクトルを表しており、dは用量補正乗数を表しており、Pはパターンを表しており、Kは空間ドメインに変換されたPoissonカーネルを表している。該方法はさらに、該変位ベクトルを使用して該フラッシュの位置決めを修正するステップを含んでいる。
【選択図】 図5

Description

発明の背景
発明の分野
[0001]本発明の実施形態は概して、基板上にパターンを形成するためのパターン生成システムおよびこのようなシステムによって使用される方法に関し、より具体的には電子ビームパターン生成システムに関する。
関連技術の説明
[0002]任意の隣接ポイントの暴露によりイメージされたパターン内のポイントの暴露に対する効果は近接効果と呼ばれることもある。例えば、電子光学暴露の制限解像度や固有レジスト解像度、レジスト層における電子散乱、およびレジスト層がある基板からの電子後方散乱は、特定のポイントに送出される暴露用量分布のぼやけ(blurring)をもたらすことがある。結果として、具体的なポイントに送出されるように設計されている暴露用量の一部は、実際は隣接ポイントに送出される。加えて、特定のポイントにおけるレジスト層の暴露は、隣接ポイントの外側に分散する恐れのあるレジストの局所的加熱をもたらすことがある。この結果は、隣接する近接ポイントでの修正レジスト感度になる。これらの効果は臨界寸法効果と称されることもある。
[0003]レジストが形成される基板の熱膨張は、基板の不均一な熱膨張による隣接ポイントでの特徴部配置エラーをもたらし得るもう1つの局所的加熱効果である。これらの熱膨張エラーは配置効果と称されることもある。
[0004]加えて、帯電粒子ビームによる暴露時に、レジストは局所帯電を取得することもある。この帯電は入ってくる粒子ビームを偏向させることもあり、パターン配置エラーにつながる。
[0005]これらの近接効果は、具体的なポイントにおいては本質的または効果的な暴露用量エラーになることもある。臨界寸法効果および配置効果は、電子がレジストに影響を及ぼすポイントの場所を変えることによって、本質的な暴露用量エラーをもたらすこともある。レジスト加熱は、電子に対するレジストの感度を変えることによって効果的な暴露用量エラーをもたらすこともある。
[0006]臨界寸法効果が隣接部位に送出される全暴露用量にのみ左右される場合、レジスト加熱効果および配置効果はまた、暴露用量送出のレートおよび時間シーケンスによって影響されることもある。従って、多様な機構によって、近接効果は、リソグラフィック特徴部のサイズ、形状および/または場所の望ましくない変動をもたらすこともある。
[0007]これらのエラーの補正は、とりわけさらなる精密さおよび正確さを必要とする複雑なパターンに伴った形状小型化の傾向に関して、電子ビームリソグラフィの重要な態様である。従って、フラッシュ生成と関連した臨界寸法効果および配置効果を、もし排除しないのならば最小化するための方法の改良が当分野では必要である。
発明の概要
[0008]本発明の実施形態は概して、フラッシュを生成するための方法を目的としている。該方法は、レジスト帯電に対する変位ベクトルを算出するステップを含んでいる。該変位ベクトルは
Figure 2009510781
として定義されており、ここで
Figure 2009510781
は該変位ベクトルを表しており、dは用量補正乗数を表しており、Pはパターンを表しており、
Figure 2009510781
は空間ドメインに変換されたPoissonカーネルを表している。該方法はさらに、該変位ベクトルを使用して該フラッシュの位置決めを修正するステップを含んでいる。
[0009]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のより具体的な説明が実施形態を参照してなされてもよく、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を認めてもよいため、この範囲を制限するものとみなされるべきではない点に注目すべきである。
詳細な説明
[0015]ここに開示されているランタイム近接補正は、電子ビームパターン生成システムにおいてラスター走査書き込み戦略およびラスター化パターンデータ表記を用いる。図1は、ラスター走査書き込み戦略の簡略表記を図示している。1つ以上の電子ビーム110が第1の方向に定期的に走査されるのに対して120、第2の直交方向のステージ運動130が各画素140の暴露に提供される。その都度、ビーム110が、画素140の1つ以上の行を暴露する第1の方向に走査される。この書き込み戦略をサポートするために、データは暴露データの標準アレイとして組織化される。画素は通常、およそ0.05〜2.0μmの範囲のサイズを有する特徴部を書き込むために、直径はおよそ0.05〜0.2μmの範囲である。各画素140の暴露データは暴露レベルPijからなり、ここでiおよびjはグリッド150の指数である。
[0016]ここで論じられている臨界寸法や近接効果は噴霧散乱効果、後方散乱効果、高速二次散乱効果および相対レジスト感度を含んでいる。種々の散乱効果は様々な長さ尺度であり、つまり、噴霧散乱は約10mmであり、後方散乱は約10μmであり、高速二次散乱は約100nm〜約1000nmである。従って、種々の散乱効果は5桁、つまり約100nm〜約10mmに及ぶ。相対レジスト感度については以下の段落で説明する。
[0017]これら種々の臨界寸法効果の補正は、もしあればいずれの用量修正が書き込み時に各画素に適用されるのかを判断するための計算を伴うことがある。一部の計算は、以下の段落で説明されているように、種々の関数を相互に畳み込んでランタイム補正をもたらすステップを伴うことがある。一部の計算は、補正を生成するために畳み込みカーネルを使用することがある。本発明の実施形態はラスタライザー(図示せず)で実現されてもよい。本発明の実施形態を使用する臨界寸法効果はランタイム時またはデータ準備時に補正されてもよい。
[0018]図2は、本発明の1つ以上の実施形態に従ったパターンプリント方法200である。ステップ210において、用量補正乗数の噴霧散乱部分が算出される。一実施形態では、用量補正乗算の噴霧散乱部分はプリント前に算出される。
[0019]図3は、本発明の1つ以上の実施形態に従った用量補正乗数の噴霧散乱部分の算出方法300のフロー図を図示している。ステップ310において、パターンは、種々の臨界寸法散乱効果に適したグリッドにサンプリングされる。一例として、噴霧散乱効果について、パターンは約1mmのセルサイズを有するグリッドにサンプリングされてもよい。後方散乱効果について、パターンは約1μmのセルサイズを有するグリッドにサンプリングされてもよい。高速二次効果について、パターンは約50〜約200nmのセルサイズを有するグリッドにサンプリングされてもよい。一実施形態では、パターンは擬似ランダムサンプリングされてもよい。別の実施形態では、サンプルはパターンの約1%〜約10%であってもよい。
[0020]ステップ320において、用量補正乗数は1に初期化される。ステップ330において、用量補正乗数は、噴霧散乱効果に適したグリッドに圧縮され、これはステップ310で説明されたグリッドと同じサイズを有している。同様に、ステップ340において、用量補正乗数は後方散乱効果に適したグリッドに圧縮され、これはステップ310で説明されたグリッドと同じサイズを有している。同様にステップ345において、用量補正乗数は高速二次散乱効果に適したグリッドに圧縮され、これはステップ310で説明されたグリッドと同じサイズを有している。このように、用量補正乗数およびパターンサンプルは同じグリッドサイズである。
[0021]ステップ350において、噴霧散乱効果の圧縮用量補正乗数およびパターンサンプルはポイントごとに乗算されて、Xで畳み込まれて、圧縮用量補正乗数の噴霧散乱部分(つまり項)を生成する。X
Figure 2009510781
として定義されて、ここでaは、幅σのそれぞれのガウス分布Gの重量を表している。Xは従って、噴霧による電子散乱ポイント拡散関数の該当部分である。圧縮用量補正乗数の噴霧散乱部分はマスク全体について計算される。ステップ360において、圧縮用量補正乗数の噴霧散乱部分は共通のスケールグリッドに拡張される。一実施形態では、共通のスケールグリッドは約50〜約200nmのセルサイズを有している。拡張動作は、線形補間、二次補間、あるいは当業者によって共通に知られている他の補間アルゴリズムなどの補間アルゴリズムを使用して実行される。
[0022]ステップ370において、後方散乱効果の圧縮用量補正乗数およびパターンサンプルはポイントごとに乗算されて、Xで畳み込まれて、圧縮用量補正乗数の後方散乱部分(つまり項)を生成する。X
Figure 2009510781
として定義される。圧縮用量補正乗数の後方散乱部分もまたマスク全体について計算される。ステップ380において、圧縮用量補正乗数の後方散乱部分は共通のスケールグリッドに拡張される。一実施形態では、共通のスケールグリッドは約50〜約200nmのセルサイズを有している。拡張動作は、線形補間、二次補間、あるいは当業者によって普通に知られている他の補間アルゴリズムなどの補間アルゴリズムを使用して実行されてもよい。
[0023]ステップ390において、高速二次散乱効果の用量補正乗数およびパターンサンプルはポイントごとに乗算されて、Xで畳み込まれて、圧縮用量補正乗数の高速二次散乱部分(つまり項)を生成する。X
Figure 2009510781
として定義される。一実施形態では、圧縮用量補正乗数の高速二次散乱部分はマスクのサンプルについて計算される。ステップ385において、圧縮用量補正乗数の高速二次散乱部分は共通のスケールグリッドに拡張される。拡張動作は、線形補間、二次補間、あるいは当業者によって共通に知られている他の補間アルゴリズムなどの補間アルゴリズムを使用して実行されてもよい。
[0024]ステップ395において、全用量補正乗数は以下の式1に従って算出される:
Figure 2009510781
ここでAはポイント拡散関数のガウス分布表記の全係数の合計を表しており、dは用量補正乗数を表しており、Aは高速二次効果、後方散乱効果または噴霧効果によって散乱しないエネルギー堆積の比率の重量を表しており、
Figure 2009510781
はステップ385〜390で算出された用量補正乗数の高速二次散乱部分を表している。
Figure 2009510781
はステップ370〜380で算出された用量補正乗数の後方散乱部分を表しており、
Figure 2009510781
はステップ350〜360で算出された用量補正乗数の噴霧散乱部分を表しており、Θは相対レジスト感度の補正を表しており、これについては以下の段落で説明する。
[0025]ステップ330〜395はそして、ステップ395で算出された用量補正乗数が収束するまで繰り返される。ステップ325は、次の反復について処理が繰り返されることを図示している。従って、iは用量補正乗数の反復指数を表している。
[0026]収束ポイントでは、ステップ396において、ステップ350で算出された圧縮用量補正乗数の噴霧散乱部分が、プリント段階でのさらなる使用のために保存または凍結されており、これについては図4を参照して説明する。ステップ330〜395は約3、4回繰り返しつまり反復されてもよい。一実施形態では、ステップ396において、ステップ350で算出された圧縮用量補正乗数の噴霧散乱部分ではなく、ステップ360で共通のスケールグリッドに拡張された圧縮用量補正乗数の噴霧散乱部分が保存または凍結される。
[0027]図2を再度参照すると、ステップ220において、用量補正乗数の凍結噴霧部分が、残りの用量補正乗数を算出するために使用される。図4は、本発明の1つ以上の実施形態に従った用量補正乗数算出方法400のフロー図を図示している。ステップ410において、後方散乱効果および高速二次散乱効果を考慮するように構成されているグリッドにパターンが圧縮される。ステップ420において、用量補正乗数が、後方散乱効果について構成されているグリッドに圧縮される。ステップ425において、用量補正乗数は、高速二次散乱効果について構成されているグリッドに圧縮される。
[0028]ステップ430において、圧縮用量補正乗数の保存または凍結噴霧部分が共通のスケールグリッドに拡張される。一実施形態では、共通のスケールグリッドは約50〜約200nmのセルサイズを有している。拡張動作は、線形補間、二次補間、あるいは当業者によって共通に知られている他の補間アルゴリズムなどの補間アルゴリズムを使用して実行されてもよい。
[0029]ステップ440において、後方散乱効果について構成されている圧縮用量補正乗数およびパターンはポイントごとに乗算されて、Xで畳み込まれて、圧縮用量補正乗数の後方散乱部分を生成する。ステップ450において、圧縮用量補正乗数の後方散乱部分は共通のスケールグリッドに拡張される。一実施形態では、共通のスケールグリッドは約50〜約200nmのセルサイズを有している。拡張動作は、線形補間、二次補間、あるいは当業者によって普通に知られている他の補間アルゴリズムなどの補間アルゴリズムを使用して実行されてもよい。
[0030]ステップ460において、高速二次散乱効果について構成されている圧縮用量補正乗数およびパターンはポイントごとに乗算されて、Xで畳み込まれて、圧縮用量補正乗数の高速二次散乱部分を生成する。ステップ455において、圧縮用量補正乗数の高速二次散乱部分は共通のスケールグリッドに拡張される。拡張動作は、線形補間、二次補間、あるいは当業者によって普通に知られている他の補間アルゴリズムなどの補間アルゴリズムを使用して実行されてもよい。
[0031]ステップ470において、全用量補正乗数は式(1)に従って算出される。ステップ420〜470は次いで、ステップ470で算出された用量補正乗数が収束するまで繰り返しつまり反復される。収束時に、最終反復での用量補正乗数はフラッシュ生成器に送られる(ステップ480)。図2を再度参照すると、ステップ230において、最終用量補正乗数は次いで、用量を修正してフラッシュを生成するために使用される。このように、最終用量補正乗数はフラッシュ滞留時間を変調するのに使用されてもよい。
[0032]上記のように、式(1)は変数Θを含有しており、これは相対レジスト感度を表しており、マスク全体で変動することがある。相対レジスト感度の変動に寄与する複数の要因はレジスト加熱、マップ型効果および時間依存効果を含んでいる。相対レジスト感度は
Figure 2009510781
として表されてもよく、ここでΘはレジスト加熱の補正を表しており、Θはマップ型効果の補正を表しており、Θは時間依存効果の補正を表しており、Θは空間変動量を表しており、これは式(1)のポイント方向に入る。
[0033]レジスト感度は温度によって変動する。従って、レジスト加熱の補正は温度の関数、つまり
Figure 2009510781
として定義されてもよく、ここでTは温度を表しており、書き込み履歴の関数である。フラッシュ間加熱が無視され、ライン間加熱のみが考慮される場合、Tは
Figure 2009510781
によって判断されてもよく、ここでΓは空間ドメインに変換された熱分散カーネルであり、基板材料およびステージスピードの関数であってもよい。レジスト加熱の補正もまたレジストタイプおよびレジスト厚の関数であってもよく、従って、これは実験的に判断されてもよく、表入力を必要とすることもある。
[0034]マップ型効果はレジストコーティング、レジスト現像および吸収剤エッチングにおける不均一性から生じることもある。従って、マップ型効果の補正は空間(X,Y)の関数、つまり
Figure 2009510781
である。マップ型効果の補正は、プロセスレシピ、例えばレジストタイプ、事後暴露ツール、エッチングツールなどの関数であってもよく、従って、実験的に判断されてもよく、表入力を必要とすることもある。
[0035]時間依存効果の補正はフラッシュの暴露と事後暴露焼成との間の経過時間の関数として定義されてもよく、この時点でレジスト化学物質は焼き入れされる。つまり
Figure 2009510781
であり、ここでtPEBは事後暴露焼成時間を表しており、tはフラッシュ暴露時間を表している。最も単純なモデルでは、事後暴露焼成時間は分からない。従って、Θtは単純な線形関数
Figure 2009510781
によって近似されてもよく、ここでt’は、最初のフラッシュがプレートに暴露されてからの経過時間を表している。
[0036]このように、用量依存性の臨界寸法効果は、ここに説明されている種々の実施形態に従って用量を変調することによって補正可能である。各フラッシュがプリントされると、当該フラッシュに一意の用量が選択されて、この用量と関連した臨界寸法効果をオフセットする。本発明の実施形態を使用することによって、各フラッシュに対する臨界寸法効果の影響は事前に予測可能であり、これに応じて用量を修正するのに使用されてもよい。
[0037]本発明の実施形態はまたフラッシュ生成に対する配置効果を補正することを目的としている。配置効果は、ビーム影響ポイントをマスク表面に移動させる効果、例えば大域的レジスト帯電を含んでいる。図5は、本発明の1つ以上の実施形態に従ったフラッシュ生成方法500のフロー図を図示している。ステップ510において、変位ベクトルは
Figure 2009510781
に従って算出され、ここで
Figure 2009510781
はレジスト帯電による変位ベクトルを表しており、dは用量補正乗数を表しており、Pはパターンを表しており、
Figure 2009510781
は空間ドメインに変換されたPoissonカーネルを表すベクトル量である。Poissonカーネルはマシーン形状、書き込みスピード、レジスト材料およびレジスト厚の関数であってもよい。従って、Poissonカーネルはマシーン形状の関数である比例定数を含有することもあり、これは実験的に判断されてもよいが、マシーンに固定的である。さらに、Poissonカーネルの減衰定数(抵抗)はレジストおよび厚さごとに実験的に判断されてもよい。劣化は時間依存性であり、非対称カーネルをもたらすこともある。一実施形態では、変位ベクトルはランタイムに算出されてもよい。別の実施形態では、変位ベクトルは、プリント時のパターンに関する情報を有しているため、ラスタライザーで算出されてもよい。
[0038]ステップ520において、変位ベクトルはフラッシュの位置決めを修正するのに使用される。一実施形態では、フラッシュは変位ベクトルと反対方向に変位されてもよい。変位ベクトルはフラッシュマイクロベクトルに適用されてもよい。
[0039]上記は本発明の実施形態を目的としているが、本発明の他のさらなる実施形態もこの基本的範囲から逸脱することなく考案されてもよく、この範囲は以下の請求項によって判断される。
ラスター走査書き込み戦略の簡略表記を図示している。 本発明の1つ以上の実施形態に従ったパターンプリント方法である。 本発明の1つ以上の実施形態に従った用量補正乗数の噴霧散乱部分の算出方法のフロー図を図示している。 本発明の1つ以上の実施形態に従った用量補正乗数の算出方法のフロー図を図示している。 本発明の1つ以上の実施形態に従ったフラッシュ生成方法のフロー図を図示している。
符号の説明
110…電子ビーム、130…ステージ運動、140…画素、150…グリッド

Claims (21)

  1. フラッシュを生成するための方法であって、
    レジスト帯電に対する変位ベクトルを算出するステップであって、前記変位ベクトルが
    Figure 2009510781
    として定義され、ここで
    Figure 2009510781
    が前記変位ベクトルを表しており、dが用量補正乗数を表しており、Pがパターンを表しており、
    Figure 2009510781
    が空間ドメインに変換されたPoissonカーネルを表しているステップと、
    前記変位ベクトルを使用して前記フラッシュの位置決めを修正するステップと、
    を備える方法。
  2. 前記変位ベクトルを使用して前記フラッシュの位置決めを修正するステップが、前記変位ベクトルと反対方向に前記フラッシュを変位させる工程を備える、請求項1に記載の方法。
  3. 変位ベクトルがランタイム時に算出される、請求項1に記載の方法。
  4. 前記Poissonカーネルが減衰定数を備える、請求項1に記載の方法。
  5. 前記用量補正乗数が噴霧散乱効果、後方散乱効果および高速二次散乱効果を考慮している、請求項1に記載の方法。
  6. 前記用量補正乗数が、前記用量補正乗数の噴霧散乱部分をまず算出することによって算出される、請求項1に記載の方法。
  7. 前記噴霧散乱部分を算出するステップが、前記噴霧散乱効果を考慮するように構成されている第1のグリッドに対して、前記後方散乱効果を考慮するように構成されている第2のグリッドに対して、また前記高速二次散乱効果を考慮するように構成されている第3のグリッドに対してパターンをサンプリングする工程を備える、請求項6に記載の方法。
  8. 前記噴霧散乱部分を算出するステップがさらに、
    (a)前記第1のグリッド、前記第2のグリッドおよび前記第3のグリッドに前記用量補正乗数を圧縮する工程と、
    (b)前記第1のグリッドに圧縮された前記用量補正乗数に前記第1のグリッドにサンプリングされた前記パターンを乗算して、前記結果を、
    Figure 2009510781
    と定義されたXで畳み込むことによって圧縮噴霧散乱部分を生成するステップであって、ここでGがガウス分布関数を表しており、aがそれぞれのガウス分布関数の重量を表しており、σが前記ガウス分布関数の幅を表している工程と、
    (c)前記圧縮噴霧散乱部分を拡張して前記噴霧散乱部分を生成する工程と、
    (d)前記第2のグリッドに圧縮された前記用量補正乗数に前記第2のグリッドにサンプリングされた前記パターンを乗算して、前記結果を
    Figure 2009510781
    と定義されたXで畳み込むことによって圧縮後方散乱部分を生成する工程と、
    (e)前記圧縮後方散乱部分を拡張して前記後方散乱部分を生成する工程と、
    (f)前記第3のグリッドに圧縮された前記用量補正乗数に前記第3のグリッドにサンプリングされた前記パターンを乗算して、前記結果を
    Figure 2009510781
    と定義されたXで畳み込むことによって圧縮高速二次散乱部分を生成する工程と、
    (g)前記圧縮高速二次散乱部分を拡張して前記高速二次散乱部分を生成する工程と、
    (h)
    Figure 2009510781
    に従って前記用量補正乗数を算出する工程であって、ここでAが前記ポイント拡散関数の前記ガウス分布表記の全係数の合計を表しており、dが前記用量補正乗数を表しており、Aが、高速二次、後方散乱または噴霧効果によっては散乱されないエネルギー堆積の比率の重量を表しており、
    Figure 2009510781
    が前記高速二次散乱部分を表しており、
    Figure 2009510781
    が前記後方散乱部分を表しており、
    Figure 2009510781
    が前記噴霧散乱部分を表しており、Θがレジスト感度の補正を表している工程と、
    を備える、請求項7に記載の方法。
  9. 前記用量補正乗数の変化が所定の値に収束するまでステップ(a)〜(h)が反復される、請求項8に記載の方法。
  10. 最後の用量補正乗数を算出するのに使用される前記圧縮噴霧散乱部分が収束ポイントで保存される、請求項9に記載の方法。
  11. 前記圧縮噴霧散乱部分を拡張するステップが、前記圧縮噴霧散乱部分を共通のスケールグリッドに拡張する工程を備える、請求項8に記載の方法。
  12. 前記圧縮噴霧散乱部分が補間アルゴリズムを使用して拡張される、請求項8に記載の方法。
  13. 前記圧縮後方散乱部分を拡張するステップが、前記圧縮後方散乱部分を共通のスケールグリッドに拡張する工程を備える、請求項8に記載の方法。
  14. 前記圧縮後方散乱部分が補間アルゴリズムを使用して拡張される、請求項8に記載の方法。
  15. レジスト感度の前記補正が、レジスト加熱の補正、マップ型欠陥の補正、および時間依存欠陥に対する補正のうちの少なくとも1つを備える、請求項8に記載の方法。
  16. 前記用量補正乗数を算出するステップが、前記パターンを前記第2のグリッドおよび前記第3のグリッドに圧縮する工程を備える、請求項8に記載の方法。
  17. 前記用量補正乗数を算出するステップがさらに、
    (i)前記用量補正乗数を前記第2のグリッドおよび前記第3のグリッドに圧縮する工程と、
    (j)前記保存されている圧縮噴霧散乱部分を拡張して前記噴霧散乱部分を生成する工程と、
    (k)前記第2のグリッドに圧縮された前記用量補正乗数に前記第2のグリッドに圧縮された前記パターンを乗算して、前記結果を
    Figure 2009510781
    と定義されたXで畳み込むことによって圧縮後方散乱部分を生成する工程と、
    (l)圧縮後方散乱部分を拡張して前記後方散乱部分を生成する工程と、
    (m)前記第3のグリッドに圧縮された前記用量補正乗数に前記第3のグリッドに圧縮された前記パターンを乗算して、前記結果を
    Figure 2009510781
    と定義されたXで畳み込むことによって圧縮高速二次散乱部分を生成する工程と、
    (n)前記圧縮高速二次散乱部分を拡張して前記高速二次散乱部分を生成する工程と、
    (o)
    Figure 2009510781
    に従って前記用量補正乗数を算出する工程と、
    を備える、請求項8に記載の方法。
  18. 前記用量補正乗数の変化が所定の値に収束するまでステップ(i)〜(o)が反復される、請求項17に記載の方法。
  19. 最後の反復での前記用量補正乗数が前記フラッシュを生成するのに使用される、請求項18に記載の方法。
  20. 前記用量補正乗数を使用して前記フラッシュを生成するステップが、前記最後の反復での前記用量補正乗数をフラッシュ生成器に送る工程を備える、請求項18に記載の方法。
  21. 前記最後の反復での前記用量補正乗数が前記フラッシュ滞留時間を変調するのに使用される、請求項18に記載の方法。
JP2008533464A 2005-09-30 2006-09-25 ラスターパターン生成器における配置効果補正 Pending JP2009510781A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/241,887 US7476879B2 (en) 2005-09-30 2005-09-30 Placement effects correction in raster pattern generator
PCT/US2006/037038 WO2007041033A1 (en) 2005-09-30 2006-09-25 Placement effects correction in raster pattern generator

Publications (2)

Publication Number Publication Date
JP2009510781A true JP2009510781A (ja) 2009-03-12
JP2009510781A5 JP2009510781A5 (ja) 2009-11-26

Family

ID=37622279

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008533464A Pending JP2009510781A (ja) 2005-09-30 2006-09-25 ラスターパターン生成器における配置効果補正

Country Status (4)

Country Link
US (1) US7476879B2 (ja)
JP (1) JP2009510781A (ja)
KR (1) KR101030982B1 (ja)
WO (1) WO2007041033A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017092467A (ja) * 2015-11-04 2017-05-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 温度効果を含む成形ビームリソグラフィを使用してパターンを形成するための方法及びシステム

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7498591B2 (en) * 2005-09-30 2009-03-03 Applied Materials, Inc. Critical dimension effects correction in raster pattern generator
US7476879B2 (en) * 2005-09-30 2009-01-13 Applied Materials, Inc. Placement effects correction in raster pattern generator
JP5063035B2 (ja) * 2006-05-30 2012-10-31 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
TW200836215A (en) * 2007-02-27 2008-09-01 Univ Nat Taiwan Science Tech Inverse method of fiber probe aperture size by non-destructive method and prediction fabrication profile method of near field photolithography
JP5480496B2 (ja) * 2008-03-25 2014-04-23 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
JP5480555B2 (ja) * 2009-08-07 2014-04-23 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5525798B2 (ja) * 2009-11-20 2014-06-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置およびその帯電効果補正方法
KR101720595B1 (ko) * 2016-07-27 2017-03-29 주식회사 리텍 Dmd 기반의 노광 장치에서 이용가능한 래스터 이미지 생성 방법 및 장치, 및 래스터 이미지 생성 방법을 실행하기 위한 프로그램을 기록한 기록 매체
US11194254B2 (en) * 2019-11-06 2021-12-07 International Business Machines Corporation Lithography process delay characterization and effective dose compensation

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02307163A (ja) * 1989-05-22 1990-12-20 Toshiba Corp 半導体素子の特性解析方法
JPH0945602A (ja) * 1995-07-27 1997-02-14 Hitachi Ltd 電子線描画装置
JP2002216699A (ja) * 2001-01-16 2002-08-02 Ebara Corp 電荷分布の解析方法、電磁場解析方法、荷電粒子光学系の設計方法、荷電粒子光学系、および荷電粒子線装置
JP2003218014A (ja) * 2002-01-24 2003-07-31 Fujitsu Ltd 荷電粒子ビーム露光方法
JP2004140311A (ja) * 2002-08-20 2004-05-13 Sony Corp 露光方法および露光装置
US20040222386A1 (en) * 2003-04-29 2004-11-11 Infineon Technologies Ag Method for detecting and compensating for positional displacements in photolithographic mask units and apparatus for carrying out the method

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5051598A (en) * 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
IL97022A0 (en) * 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
US5393634A (en) * 1993-05-27 1995-02-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Continuous phase and amplitude holographic elements
JP3469422B2 (ja) * 1996-02-23 2003-11-25 株式会社東芝 荷電ビーム描画方法及び描画装置
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
US5847949A (en) * 1997-10-07 1998-12-08 Lucent Technologies Inc. Boost converter having multiple outputs and method of operation thereof
US6556702B1 (en) * 1999-01-06 2003-04-29 Applied Materials, Inc. Method and apparatus that determines charged particle beam shape codes
JP3913924B2 (ja) * 1999-03-19 2007-05-09 株式会社東芝 パターン描画方法及び描画装置
US6720565B2 (en) * 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
JP3686367B2 (ja) * 2001-11-15 2005-08-24 株式会社ルネサステクノロジ パターン形成方法および半導体装置の製造方法
KR100459697B1 (ko) * 2001-12-27 2004-12-04 삼성전자주식회사 가변적인 후방 산란 계수를 이용하는 전자빔 노광 방법 및이를 기록한 컴퓨터로 읽을 수 있는 기록 매체
JP3993817B2 (ja) * 2002-12-11 2007-10-17 株式会社日立製作所 欠陥組成分析方法及び装置
KR100958618B1 (ko) 2002-12-31 2010-05-20 동부일렉트로닉스 주식회사 반도체 장치의 제조 방법
US7462848B2 (en) * 2003-10-07 2008-12-09 Multibeam Systems, Inc. Optics for generation of high current density patterned charged particle beams
KR20050071009A (ko) * 2003-12-31 2005-07-07 삼성전자주식회사 선형 패턴의 임계 치수를 고려하여 후속 공정의얼라인먼트 마진을 설정하는 반도체 소자 제조 방법
TWI298430B (en) * 2004-03-31 2008-07-01 Hoya Corp Electron-beam plotting method, method of manufacturing lithographic mask, and electron-beam plotting device
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
EP1612835A1 (en) * 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
US7838209B2 (en) * 2004-07-20 2010-11-23 Imec Method of reducing the impact of stray light during optical lithography, devices obtained thereof and masks used therewith
US20060183025A1 (en) * 2005-02-14 2006-08-17 Micron Technology, Inc. Methods of forming mask patterns, methods of correcting feature dimension variation, microlithography methods, recording medium and electron beam exposure system
US7498591B2 (en) * 2005-09-30 2009-03-03 Applied Materials, Inc. Critical dimension effects correction in raster pattern generator
US7476879B2 (en) * 2005-09-30 2009-01-13 Applied Materials, Inc. Placement effects correction in raster pattern generator
US7476880B2 (en) * 2005-10-03 2009-01-13 Applied Materials, Inc. Writing a circuit design pattern with shaped particle beam flashes
KR100675301B1 (ko) * 2006-01-17 2007-01-29 삼성전자주식회사 전자빔을 이용한 패턴 형성 방법들 및 전자빔 묘화에사용되는 셀 마스크들

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02307163A (ja) * 1989-05-22 1990-12-20 Toshiba Corp 半導体素子の特性解析方法
JPH0945602A (ja) * 1995-07-27 1997-02-14 Hitachi Ltd 電子線描画装置
JP2002216699A (ja) * 2001-01-16 2002-08-02 Ebara Corp 電荷分布の解析方法、電磁場解析方法、荷電粒子光学系の設計方法、荷電粒子光学系、および荷電粒子線装置
JP2003218014A (ja) * 2002-01-24 2003-07-31 Fujitsu Ltd 荷電粒子ビーム露光方法
JP2004140311A (ja) * 2002-08-20 2004-05-13 Sony Corp 露光方法および露光装置
US20040222386A1 (en) * 2003-04-29 2004-11-11 Infineon Technologies Ag Method for detecting and compensating for positional displacements in photolithographic mask units and apparatus for carrying out the method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017092467A (ja) * 2015-11-04 2017-05-25 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 温度効果を含む成形ビームリソグラフィを使用してパターンを形成するための方法及びシステム

Also Published As

Publication number Publication date
KR101030982B1 (ko) 2011-04-28
KR20080059410A (ko) 2008-06-27
US20070085031A1 (en) 2007-04-19
WO2007041033A1 (en) 2007-04-12
US7476879B2 (en) 2009-01-13

Similar Documents

Publication Publication Date Title
JP2009510781A (ja) ラスターパターン生成器における配置効果補正
US7498591B2 (en) Critical dimension effects correction in raster pattern generator
TWI298430B (en) Electron-beam plotting method, method of manufacturing lithographic mask, and electron-beam plotting device
JP5256365B2 (ja) 半導体装置の製造方法及び露光用マスクへのパターン形成方法
US8592108B2 (en) Method for design and manufacture of patterns with variable shaped beam lithography
US7638247B2 (en) Method for electron beam proximity effect correction
TWI653512B (zh) 電子束裝置及電子束之位置偏移修正方法
KR20000064800A (ko) 패턴 발생에서 근접효과의 런타임 보정을 위한 방법 및 장치
KR102403574B1 (ko) 하전 입자 리소그래피 시스템에서의 근접 효과 보정
EP3339957B1 (en) Process dose and process bias determination for beam lithography
CN114981923A (zh) 带电粒子束描绘方法以及带电粒子束描绘装置
JP2004048018A (ja) 電子線描画装置および電子線を用いた描画方法
US8710468B2 (en) Method of and apparatus for evaluating an optimal irradiation amount of an electron beam for drawing a pattern onto a sample
US10950413B2 (en) Electron beam irradiation method, electron beam irradiation apparatus, and computer readable non-transitory storage medium
KR101761270B1 (ko) 멀티 노광 패스를 갖는 대전 입자 빔 리소그래피를 이용한 패턴 분할 방법 및 시스템
JP6480450B2 (ja) 電子近接効果の補正のための方法
JPWO2013073694A1 (ja) パターンを描画する方法及び装置
US20040222386A1 (en) Method for detecting and compensating for positional displacements in photolithographic mask units and apparatus for carrying out the method
JP2001326165A (ja) 照射エネルギーの計算方法、近接効果計算方法、マスク又はレチクルパターンの設計方法、荷電粒子線露光装置及び半導体デバイスの製造方法
TWI831208B (zh) 帶電粒子束描繪方法、帶電粒子束描繪裝置及電腦可讀取記錄媒體
JP4082970B2 (ja) 荷電粒子ビーム露光方法
JP2003309065A (ja) レチクルパターンの決定方法、及びレチクルパターン決定用計算機プログラム
JPH0220133B2 (ja)

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090910

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090910

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091001

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120327

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120828

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925