JP2009510781A5 - - Google Patents

Download PDF

Info

Publication number
JP2009510781A5
JP2009510781A5 JP2008533464A JP2008533464A JP2009510781A5 JP 2009510781 A5 JP2009510781 A5 JP 2009510781A5 JP 2008533464 A JP2008533464 A JP 2008533464A JP 2008533464 A JP2008533464 A JP 2008533464A JP 2009510781 A5 JP2009510781 A5 JP 2009510781A5
Authority
JP
Japan
Prior art keywords
dose
dose correction
compressed
grid
multiplier array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008533464A
Other languages
English (en)
Other versions
JP2009510781A (ja
Filing date
Publication date
Priority claimed from US11/241,887 external-priority patent/US7476879B2/en
Application filed filed Critical
Publication of JP2009510781A publication Critical patent/JP2009510781A/ja
Publication of JP2009510781A5 publication Critical patent/JP2009510781A5/ja
Pending legal-status Critical Current

Links

Claims (15)

  1. 帯電粒子ビームフラッシュを生成するための方法であって、
    少なくとも部分的にレジスト感度の補正要因に基づき、用量補正乗数配列(an array of dose correction multipliers)を算出するステップと、
    配置効果のレジスト帯電を考慮する為に変位ベクトルを算出するステップであって、前記変位ベクトルが
    Figure 2009510781
    として定義され、ここで
    Figure 2009510781
    が前記変位ベクトルを表しており、dが用量補正乗数を表しており、Pがパターンを表しており、
    Figure 2009510781
    が数学的な畳込み演算(convolution operation)を表しており
    Figure 2009510781
    が空間ドメインに変換されたPoissonカーネルを表しているステップと、
    前記変位ベクトルを使用して前記帯電粒子ビームフラッシュの位置を修正するステップと、
    を備える方法。
  2. 前記変位ベクトルを使用して前記帯電粒子ビームフラッシュの位置を修正するステップが、前記変位ベクトルと反対方向に前記帯電粒子ビームフラッシュを変位させる工程を備える、請求項1に記載の方法。
  3. 前記変位ベクトルがランタイム時に算出される、請求項1に記載の方法。
  4. 前記用量補正乗数配列噴霧散乱効果、後方散乱効果および高速二次電子の高速二次散乱効果を考慮している、請求項1に記載の方法。
  5. 前記用量補正乗数配列が、前記用量補正乗数配列の噴霧散乱部分をまず算出することによって算出される、請求項1に記載の方法。
  6. 前記用量補正乗数配列の前記噴霧散乱部分を算出することが、前記噴霧散乱効果を考慮するように構成されている第1のグリッドに対して前記パターンをサンプリングする工程、前記後方散乱効果を考慮するように構成されている第2のグリッドに対して前記パターンをサンプリングする工程、また高速二次電子の前記高速二次散乱効果を考慮するように構成されている第3のグリッドに対して前記パターンをサンプリングする工程を備える、請求項に記載の方法。
  7. 前記用量補正乗数の前記噴霧散乱部分を算出するステップがさらに、
    (a)前記用量補正乗数配列をスケーリングする工程であって、(1)前記第1のグリッドでポイントごとの乗算を許容する寸法に前記用量補正乗数配列を圧縮し、(2)前記第2のグリッドでポイントごとの乗算を許容する寸法に前記用量補正乗数配列を圧縮し、(3)前記第3のグリッドでポイントごとの乗算を許容する寸法に前記用量補正乗数を圧縮する、前記工程と、
    (b)前記第1のグリッドでポイントごとの乗算を許容する寸法に圧縮された前記用量補正乗数配列前記第1のグリッドにサンプリングされた前記圧縮パターンを乗算して、前記結果を、
    Figure 2009510781
    と定義されたXで畳み込むことによって前記用量補正乗数配列の圧縮噴霧散乱部分を生成する工程であって、ここでGがガウス分布関数を表しており、aがそれぞれのガウス分布関数の重量を表しており、σが前記ガウス分布関数の幅を表している、前記工程と、
    (c)前記用量補正乗数配列の前記圧縮噴霧散乱部分を補間・拡張して前記用量補正乗数配列の前記噴霧散乱部分を生成する工程と、
    (d)前記第2のグリッドでポイントごとの乗算を許容する寸法に圧縮された前記用量補正乗数配列前記第2のグリッドにサンプリングされた前記圧縮パターンを乗算して、前記結果を
    Figure 2009510781
    と定義されたXで畳み込むことによって前記用量補正乗数配列の圧縮後方散乱部分を生成する工程と、
    (e)前記用量補正乗数配列の前記圧縮後方散乱部分を補間・拡張して前記用量補正乗数配列の後方散乱部分を生成する工程と、
    (f)前記第3のグリッドでポイントごとの乗算を許容する寸法に圧縮された前記用量補正乗数配列前記第3のグリッドにサンプリングされた前記圧縮パターンを乗算して、前記結果を
    Figure 2009510781
    と定義されたXで畳み込むことによって高速二次電子の前記後方散乱効果に対応する前記用量補正乗数配列の圧縮高速二次散乱部分を生成する工程と、
    (g)高速二次電子の前記散乱効果に対応する前記用量補正乗数配列の前記圧縮高速二次散乱部分を補間・拡張して、高速二次電子の前記散乱効果に対応する前記用量補正乗数配列の前記高速二次散乱部分を生成する工程と、
    (h)
    Figure 2009510781
    に従って前記用量補正乗数配列を算出する工程であって、ここでAが前記ポイント拡散関数の前記ガウス分布表記の全係数の合計を表しており、dが前記用量補正乗数配列を表しており、Aが、高速二次電子、後方散乱または噴霧効果によっては散乱されないエネルギー堆積の比率の重量を表しており、
    Figure 2009510781
    高速二次電子の前記散乱効果に対応する前記用量補正乗数配列の前記高速二次散乱部分を表しており、
    Figure 2009510781
    前記用量補正乗数配列の前記後方散乱部分を表しており、
    Figure 2009510781
    前記用量補正乗数配列の前記噴霧散乱部分を表しており、Θがレジスト感度の補正要素を表している工程と、
    を備える、請求項に記載の方法。
  8. 前記用量補正乗数配列の変化が所定の値に収束するまで工程(a)〜(h)が反復される、請求項に記載の方法。
  9. 前記用量補正乗数配列の前記圧縮噴霧散乱部分を補間・拡張するステップが、前記用量補正乗数配列の前記圧縮噴霧散乱部分を共通のスケールグリッドに補間・拡張する工程を備える、請求項に記載の方法。
  10. 前記用量補正乗数配列の前記圧縮後方散乱部分を補間で拡張するステップが、前記用量補正乗数配列の前記圧縮後方散乱部分を共通のスケールグリッドに補間・拡張する工程を備える、請求項に記載の方法。
  11. レジスト感度補正要素の前記補正が、レジスト加熱の補正、マップ型欠陥の補正、および時間依存欠陥に対する補正のうちの少なくとも1つを備える、請求項に記載の方法。
  12. 前記用量補正乗数配列を算出する工程が、前記パターンを前記第2のグリッドおよび前記第3のグリッドにサンプリング・圧縮することを備える、請求項に記載の方法。
  13. 前記用量補正乗数配列を算出する工程がさらに、
    (i)前記用量補正乗数配列をスケーリングする工程であって、(1)前記第2のグリッドでポイントごとの乗算を許容する寸法に前記用量補正乗数配列を圧縮し、(2)前記第3のグリッドでポイントごとの乗算を許容する寸法に前記用量補正乗数配列を圧縮する、前記工程と、
    (j)前記用量補正乗数配列の前記保存されている圧縮噴霧散乱部分を補間・拡張して前記用量補正乗数配列の噴霧散乱部分を生成する工程と、
    (k)前記第2のグリッドでポイントごとの乗算を許容する寸法に圧縮された前記用量補正乗数配列前記第2のグリッドにサンプリング・圧縮された前記パターンを乗算して、前記結果を
    Figure 2009510781
    と定義されたXで畳み込むことによって前記用量補正乗数配列の圧縮後方散乱部分を生成する工程と、
    (l)前記用量補正乗数配列の前記圧縮後方散乱部分を補間・拡張して前記用量補正乗数配列の前記後方散乱部分を生成する工程と、
    (m)前記第3のグリッドでポイントごとの乗算を許容する寸法に圧縮された前記用量補正乗数配列前記第3のグリッドにサンプリング・圧縮された前記パターンを乗算して、前記結果を
    Figure 2009510781
    と定義されたXで畳み込むことによって前記用量補正乗数配列の高速二次電子部分の圧縮高速二次散乱を生成する工程と、
    (n)前記用量補正乗数配列の高速二次電子部分の前記圧縮散乱を補間・拡張して高速二次電子の前記散乱効果に対応する前記用量補正乗数配列の高速二次散乱部分を生成する工程と、
    (o)
    Figure 2009510781
    に従って前記用量補正乗数配列を算出する工程と、
    を備える、請求項に記載の方法。
  14. 前記用量補正乗数配列の変化が所定の値に収束するまで工程(i)〜(o)が反復される、請求項13に記載の方法。
  15. 前記最後の反復での前記用量補正乗数が前記帯電粒子ビームフラッシュ滞留時間の暴露用量を変調するのに使用される、請求項14に記載の方法。
JP2008533464A 2005-09-30 2006-09-25 ラスターパターン生成器における配置効果補正 Pending JP2009510781A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/241,887 US7476879B2 (en) 2005-09-30 2005-09-30 Placement effects correction in raster pattern generator
PCT/US2006/037038 WO2007041033A1 (en) 2005-09-30 2006-09-25 Placement effects correction in raster pattern generator

Publications (2)

Publication Number Publication Date
JP2009510781A JP2009510781A (ja) 2009-03-12
JP2009510781A5 true JP2009510781A5 (ja) 2009-11-26

Family

ID=37622279

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008533464A Pending JP2009510781A (ja) 2005-09-30 2006-09-25 ラスターパターン生成器における配置効果補正

Country Status (4)

Country Link
US (1) US7476879B2 (ja)
JP (1) JP2009510781A (ja)
KR (1) KR101030982B1 (ja)
WO (1) WO2007041033A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7498591B2 (en) * 2005-09-30 2009-03-03 Applied Materials, Inc. Critical dimension effects correction in raster pattern generator
US7476879B2 (en) * 2005-09-30 2009-01-13 Applied Materials, Inc. Placement effects correction in raster pattern generator
JP5063035B2 (ja) * 2006-05-30 2012-10-31 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
TW200836215A (en) * 2007-02-27 2008-09-01 Univ Nat Taiwan Science Tech Inverse method of fiber probe aperture size by non-destructive method and prediction fabrication profile method of near field photolithography
JP5480496B2 (ja) * 2008-03-25 2014-04-23 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画方法及び荷電粒子ビーム描画装置
JP5480555B2 (ja) * 2009-08-07 2014-04-23 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
JP5525798B2 (ja) * 2009-11-20 2014-06-18 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置およびその帯電効果補正方法
US10460071B2 (en) * 2015-11-04 2019-10-29 D2S, Inc. Shaped beam lithography including temperature effects
KR101720595B1 (ko) * 2016-07-27 2017-03-29 주식회사 리텍 Dmd 기반의 노광 장치에서 이용가능한 래스터 이미지 생성 방법 및 장치, 및 래스터 이미지 생성 방법을 실행하기 위한 프로그램을 기록한 기록 매체
US11194254B2 (en) * 2019-11-06 2021-12-07 International Business Machines Corporation Lithography process delay characterization and effective dose compensation

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02307163A (ja) * 1989-05-22 1990-12-20 Toshiba Corp 半導体素子の特性解析方法
US5051598A (en) * 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
IL97022A0 (en) * 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
US5393634A (en) * 1993-05-27 1995-02-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Continuous phase and amplitude holographic elements
JPH0945602A (ja) * 1995-07-27 1997-02-14 Hitachi Ltd 電子線描画装置
JP3469422B2 (ja) * 1996-02-23 2003-11-25 株式会社東芝 荷電ビーム描画方法及び描画装置
US5847959A (en) * 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
US5847949A (en) * 1997-10-07 1998-12-08 Lucent Technologies Inc. Boost converter having multiple outputs and method of operation thereof
US6556702B1 (en) * 1999-01-06 2003-04-29 Applied Materials, Inc. Method and apparatus that determines charged particle beam shape codes
JP3913924B2 (ja) * 1999-03-19 2007-05-09 株式会社東芝 パターン描画方法及び描画装置
US6720565B2 (en) * 1999-06-30 2004-04-13 Applied Materials, Inc. Real-time prediction of and correction of proximity resist heating in raster scan particle beam lithography
JP2002216699A (ja) * 2001-01-16 2002-08-02 Ebara Corp 電荷分布の解析方法、電磁場解析方法、荷電粒子光学系の設計方法、荷電粒子光学系、および荷電粒子線装置
JP3686367B2 (ja) * 2001-11-15 2005-08-24 株式会社ルネサステクノロジ パターン形成方法および半導体装置の製造方法
KR100459697B1 (ko) * 2001-12-27 2004-12-04 삼성전자주식회사 가변적인 후방 산란 계수를 이용하는 전자빔 노광 방법 및이를 기록한 컴퓨터로 읽을 수 있는 기록 매체
JP3975757B2 (ja) * 2002-01-24 2007-09-12 富士通株式会社 荷電粒子ビーム露光方法
JP2004140311A (ja) * 2002-08-20 2004-05-13 Sony Corp 露光方法および露光装置
JP3993817B2 (ja) * 2002-12-11 2007-10-17 株式会社日立製作所 欠陥組成分析方法及び装置
KR100958618B1 (ko) 2002-12-31 2010-05-20 동부일렉트로닉스 주식회사 반도체 장치의 제조 방법
DE10319370B4 (de) * 2003-04-29 2007-09-13 Infineon Technologies Ag Verfahren zum Erfassen und Kompensieren von Lageverschiebungen bei photolithographischen Maskeneinheiten
US7462848B2 (en) * 2003-10-07 2008-12-09 Multibeam Systems, Inc. Optics for generation of high current density patterned charged particle beams
KR20050071009A (ko) * 2003-12-31 2005-07-07 삼성전자주식회사 선형 패턴의 임계 치수를 고려하여 후속 공정의얼라인먼트 마진을 설정하는 반도체 소자 제조 방법
TWI298430B (en) * 2004-03-31 2008-07-01 Hoya Corp Electron-beam plotting method, method of manufacturing lithographic mask, and electron-beam plotting device
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
EP1612835A1 (en) * 2004-06-29 2006-01-04 Leica Microsystems Lithography GmbH Method for Reducing the Fogging Effect
US7838209B2 (en) * 2004-07-20 2010-11-23 Imec Method of reducing the impact of stray light during optical lithography, devices obtained thereof and masks used therewith
US20060183025A1 (en) * 2005-02-14 2006-08-17 Micron Technology, Inc. Methods of forming mask patterns, methods of correcting feature dimension variation, microlithography methods, recording medium and electron beam exposure system
US7476879B2 (en) * 2005-09-30 2009-01-13 Applied Materials, Inc. Placement effects correction in raster pattern generator
US7498591B2 (en) * 2005-09-30 2009-03-03 Applied Materials, Inc. Critical dimension effects correction in raster pattern generator
US7476880B2 (en) * 2005-10-03 2009-01-13 Applied Materials, Inc. Writing a circuit design pattern with shaped particle beam flashes
KR100675301B1 (ko) * 2006-01-17 2007-01-29 삼성전자주식회사 전자빔을 이용한 패턴 형성 방법들 및 전자빔 묘화에사용되는 셀 마스크들

Similar Documents

Publication Publication Date Title
JP2009510781A5 (ja)
US7498591B2 (en) Critical dimension effects correction in raster pattern generator
US7476879B2 (en) Placement effects correction in raster pattern generator
US6256367B1 (en) Monte Carlo scatter correction method for computed tomography of general object geometries
KR102403574B1 (ko) 하전 입자 리소그래피 시스템에서의 근접 효과 보정
KR102636927B1 (ko) 방사선 촬영 시스템에서의 산란 보정을 위한 장치 및 방법
WO1997024751A1 (fr) Gravure electronique
Diego-Palazuelos et al. Comparison of delensing methodologies and assessment of the delensing capabilities of future experiments
Ivanov et al. ULTRASAM-2D code for simulation of electron guns with ultra high precision
Dai et al. Estimation of resist profile for line/space patterns using layer-based exposure modeling in electron-beam lithography
KR101272251B1 (ko) 컴프턴 카메라 및 그의 해상도 복원용 영상 재구성 방법
JP2004048018A (ja) 電子線描画装置および電子線を用いた描画方法
Wang et al. Modeling facility effects on secondary electron emission experiment
Ebm et al. Assessment of approximations for efficient topography simulation of ion beam processes: 10 keV Ar on Si
Araki et al. Electric propulsion plume simulation coupled with spacecraft charging
Ertl et al. Three-dimensional simulation of focused ion beam processing using the level set method
Ishiguro et al. Three-dimensional particle-in-cell simulation of scrape-off-layer plasmas
JP6480450B2 (ja) 電子近接効果の補正のための方法
Kotera et al. Line edge roughness of developed resist with low-dose electron beam exposure
Dubey et al. On the inter-comparison of two tracer transport schemes on icosahedral grids
Osmundsen et al. Pseudo-marginal Hamiltonian Monte Carlo with efficient importance sampling
Keil et al. Fast backscattering parameter determination in e-beam lithography with a modified doughnut test
US8885908B2 (en) Method for acquiring a 3D image dataset for an image object
Wienands et al. Observation of a remarkable deflection of multi-GeV electron beams by a thin crystal
Kim et al. Simulation of mask CD variation for different local densities with in-house developed e-beam lithography simulator