JP2009506537A - ゲート誘電体層の高k窒化物形成における窒素プロファイルエンジニアリング - Google Patents

ゲート誘電体層の高k窒化物形成における窒素プロファイルエンジニアリング Download PDF

Info

Publication number
JP2009506537A
JP2009506537A JP2008527963A JP2008527963A JP2009506537A JP 2009506537 A JP2009506537 A JP 2009506537A JP 2008527963 A JP2008527963 A JP 2008527963A JP 2008527963 A JP2008527963 A JP 2008527963A JP 2009506537 A JP2009506537 A JP 2009506537A
Authority
JP
Japan
Prior art keywords
substrate
voltage
gate dielectric
plasma
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008527963A
Other languages
English (en)
Inventor
シャンカール ムサクリッシュナン,
ラーウル シャラングパニ,
テジャール ゴヤニ,
プラヴィン ケー. ナルワンカール,
シュレイヤス エス. ケアー,
イ マ,
ギウセッピーナ アール. コンティ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009506537A publication Critical patent/JP2009506537A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

窒化されたゲート誘電体を形成するための方法及び装置。方法は、プラズマ窒化プロセスを用いて誘電体膜に窒素を取込んで、窒化されたゲート誘電体を形成するステップを含む。第一ステップは、ゲート誘電体膜を備える基板を準備することを含む。第二ステップは、基板上に電圧を誘導することを含む。最後に、電圧を維持しながら窒素源を備えるプラズマに基板を曝して、基板上に窒化されたゲート誘電体を形成する。一実施形態において、基板を支持する静電チャックに電圧を印加することによって電圧を基板上に誘導させる。他の実施形態において、基板に隣接して位置する電極にDCバイアス電圧を印加することによって基板上に電圧を誘導させる。
【選択図】 図1

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般的には、半導体製造の分野に関する。特に、本発明の実施形態は窒化されたゲート誘電体層を形成する方法に関する。
関連技術の説明
[0002]集積回路は、トランジスタ、キャパシタ、及びレジスタのような基本となる要素として機能する多くの、例えば、数百万のデバイスから構成されている。電界効果型トランジスタ(FET)のようなトランジスタは、典型的には、ソースとドレインとゲートスタックとを含む。ゲートスタックは、典型的には、シリコン基板のような基板と、基板上に二酸化シリコン、SiOのようなゲート誘電体と、ゲート誘電体上に多結晶シリコンのようなゲート電極とを含む。ゲート誘電体層は、一般的には、二酸化シリコン(SiO)のような誘電材料、又はオキシ窒化シリコン(SiON)、窒化シリコン(SiN)、酸化ハフニウム(HfO)、ケイ酸ハフニウム(HfSiO)、オキシ窒化シリコンハフニウム(HfSiON)、酸化ジルコニウム(ZrO)、ケイ酸ジルコニウム(ZrSiO)、チタン酸ストロンチウムバリウム(BaSrTiO、即ち、BST)、チタン酸ジルコニウム鉛(Pb(ZrTi)O、即ち、PZT)、及び他の適切な材料のような、4.0を超える誘電率を有する高K誘電材料を含む。
[0003]集積回路の大きさとその上のトランジスタの大きさが縮小するにつれて、トランジスタの速度を上げるために必要とされるゲート駆動電流が増大してきた。ゲート容量が増加するにつれてゲート駆動電流が増大し、また、容量はゲート誘電体の厚さに逆比例することから、誘電体の厚さを薄くすることは駆動電流を増加する一つの方法である。
[0004]SiOゲート誘電体の厚さを20オングストローム未満に減じる試みがなされてきた。しかしながら、20オングストローム未満の薄いSiOゲート誘電体を用いることによりゲート性能と耐久性にしばしば望ましくない作用が生じることがわかった。例えば、ボロンがドープされたゲート電極からのボロンは薄いSiOゲート誘電体を通って下にあるシリコン基板へ浸透し得る。また、典型的には、薄い誘電体によってゲート漏れ、即ち、トンネリングが増加し、従って、ゲートによって使用される電力量が増加する。更に、薄いSiOゲート誘電体はホットキャリヤ損傷を受けやすいものであり、誘電体全体に進行する高エネルギーキャリヤがゲートを損傷させるか又は破壊させる。更に、薄いSiOゲート誘電体は、負バイアス温度不安定性(NBTI)を受けやすいものであり、しきい電圧又は駆動電流がゲートの動作でドリフトする。
[0005]MOSFET(金属酸化物半導体電界効果型トランジスタ)においてゲート誘電体層として用いるのに適した誘電体層を形成する一つの方法は、窒素含有プラズマ中で酸化シリコン薄膜を窒化することを含む。誘電率を上げるためにゲート酸化物中の正味の窒素含量を増加することは幾つかの理由で望ましい。例えば、プラズマ窒化プロセス中に窒素を酸化物誘電体のバルクに容易に取込むことができ、出発酸化物より等価酸化物厚さ(EOT)を減少させる。特定のキャパシタにおいて、代替誘電体層のEOTは、誘電率が二酸化シリコンと同じであった場合には代替誘電体層がもつ厚さである。このことにより、FET(電界効果型トランジスタ)の動作中のトンネリングによってゲート漏れが減少する結果となる。同時に、このような増加した窒素含量は、その後の処理動作中のトンネリング電流によって誘発される損傷を減少させることができる。ゲート酸化物の正味の窒素含量を増加させる他の利点は、窒化ゲート誘電体がゲートエッチアンダーカット問題により抵抗し、欠陥状態とゲート端でのリーク電流を減少させる。
[0006]2003年8月26日発行の“Plasma Nitridation For Reduced GateDielectric Layers”と称する米国特許出願第6,610,615号において、McFaddenらは熱とプラズマ双方の窒化プロセスについて酸化シリコン膜における窒素プロファイルを比較した(米国特許出願第6,610,615号の図2参照)。熱的に窒化された酸化物の窒素プロファイルデータは、酸化物層の最上面で第一窒素濃度を示し、酸化物においてより深い窒素の通常は低下する濃度、酸化物-シリコン接合部における窒素の境界面蓄積、また、基板への距離とともに通常は低下する窒素濃度勾配を示している。対照的に、プラズマ窒化プロセスによって、本質的に、酸化物層の最上面から酸化物シリコン接合部を通って基板内へ単調に低下する窒素プロファイルを生じることが見られ得る。熱窒化プロセスで見られる窒素の望ましくない境界面蓄積は、窒素プラズマのイオン衝撃で生じない。更に、基板内の窒素含量は、熱窒化プロセスで得られるより全ての深さでより少ない。
[0007]前述したように、ゲート-電極-ゲート酸化物接合部での窒素含量の増加の利点は、多結晶シリコンゲート電極からゲート酸化物への又はそれを通るボロンのようなドーパントの外部拡散が減少することである。これにより、例えば、ボロンがドープされた多結晶ゲート電極から内部拡散によって引き起こされたゲート酸化物のバルクにおいて欠陥状態を減少することによってデバイスの信頼性が改善される。ゲート-酸化物シリコンチャネル接合部において、窒素含量を減少させる他の利点は固定電荷と接合部状態密度の減少である。これは、チャネルの移動度およびトランスコンダクタンスを改善する。それ故、プラズマ窒化プロセスは熱窒化プロセスよりも有利である。
[0008]しかしながら、デバイス形が縮小続けるにつれて、移動度が改善されたより薄い電気酸化物の厚さ(EOT)をもつゲート誘電体を堆積する方法が依然として求められている。
発明の概要
[0009]本発明の実施形態は、一般的には、窒化ゲート誘電体を形成する方法を提供する。方法は、プラズマ窒化プロセスを用いて誘電体膜へ窒素を取込み、窒化されたゲート誘電体を形成するステップを含む。第一ステップは、ゲート誘電体膜を備える基板を準備することを含む。第二ステップは、基板に電圧を誘導させることを含む。最後に、電圧を維持しながら窒素源を含むプラズマに基板を曝して、基板上に窒化されたゲート誘電体を形成する。一実施形態において、基板を支持する静電チャックに電圧を印加することによって基板上に電圧を誘導させる。他の実施形態において、基板に隣接して位置する電極にDCバイアス電圧を印加することによって基板上に電圧を誘導させる。
[0010]本発明の実施形態は、また、統合処理システムにおいて窒化されたゲート誘電体を形成する方法を提供する。シリコン基板は、誘電体膜が基板上に形成される統合処理システムの第一処理チャンバへ導入される。基板は、基板がアニールされる統合処理システムの第二処理チャンバへ移送される。その後、基板は、窒素源を備えるプラズマに基板を曝しながら基板上に電圧が印加される統合処理システムの第三プロセスチャンバへ移送されて、基板上に窒化されたゲート誘電体を形成する。他の実施形態において、基板は、基板がアニールされる統合処理システムの第二処理チャンバへ移送される。他の実施形態において、基板は、多結晶シリコン層が基板上に堆積される統合処理システムの第四処理チャンバへ移送される。他の実施形態において、基板上に誘導される電圧は、4トールのヘリウムの圧力で約1200V未満のバイアス電圧を印加することを含む。
[0011]本発明の上記特徴が詳細に理解され得るように、上で簡単にまとめた本発明のより具体的な説明は、一部が添付の図面に示される実施形態によって参照してもよい。しかしながら、添付の図面が単に本発明の典型的な実施形態を示し、それ故、本発明の範囲を制限するものとみなされず、本発明が他の等しい有効な実施形態を許容することができることは留意すべきである。
詳細な説明
[0018]本発明の実施形態は、基板の上に高k誘電体材料の形成に関する。高K誘電体材料は、均質な、不均質な、段階的な及び/又は多層のスタック又はラミネートである種々の組成を有するのがよい。高k誘電体材料は、ハフニウム、ジルコニウム、タンタル、ランタン、アルミニウム、シリコン、酸素及び/又は窒素の組合せを含むのがよい。高k誘電体材料には、酸化ハフニウム(HfO或いはHfO)、ケイ酸ハフニウム(HfSi或いはHfSiO)、オキシ窒化シリコンハフニウム(HfSi)、オキシ窒化ハフニウム(HfO)、アルミン酸ハフニウム(HfAl)、ケイ酸アルミニウムハフニウム(HfAlSi)、オキシ窒化シリコンハフニウムアルミニウム(HfAlSi)、酸化ランタンハフニウム(HfLa)のようなハフニウム含有物質、酸化ジルコニウム(ZrO或いはZrO)、ケイ酸ジルコニウム(ZrSi或いはZrSiO)、オキシ窒化シリコンジルコニウム(ZrSi)、オキシ窒化ジルコニウム(ZrO)、アルミン酸アルミニウムジルコニウム(ZrAl)、ケイ酸アルミニウムジルコニウム(ZrAlxSiyOz)、オキシ窒化シリコンアルミニウムジルコニウム(ZrAlSi)、酸化ランタンジルコニウム(ZrLa)のようなジルコニウム含有物質、酸化アルミニウム(Al或いはAlO)、オキシ窒化アルミニウム(AlO)、ケイ酸アルミニウム(AlSi)、オキシ窒化シリコンアルミニウム(AlSi)、酸化アルミニウムランタン(LaAl)、酸化ランタン(LaO或いはLa)のようなアルミニウム含有物質或いはランタン含有物質、他の適切な物質、それらの複合物、又はそれらの組合せが含まれるのがよい。誘電体層として有効な他の高K誘電体材料には、酸化チタン(TiO或いはTiO)、オキシ窒化チタン(TiO)、酸化タンタル(TaO或いはTa)又はオキシ窒化タンタル(TaO)が含まれるのがよい。高K誘電体層に有効な誘電材料であるラミネート膜には、HfO/Al、HfO/SiO、La/Al又はHfO/SiO/Alが含まれる。高K誘電材料は、好ましくは酸化ハフニウム、ケイ酸ハフニウム、それらの複合物、又はそれらの組合せを含む。本発明の実施形態が有効であり得る基板としては、結晶シリコン、酸化シリコン、ひずみシリコン、SOI、シリコンゲルマニウム、ドープされた或いはドープされていない多結晶シリコン、ドープされた或いはドープされていないシリコンウエハ、窒化シリコン、パターン形成された或いはパターン形成されていないウエハのような半導体ウエハが挙げられるがこれらに限定されず、誘電材料、導電材料、シリコン層及び金属層のようなその上に形成された物質が含まれてもよい。
[0019]図1は、基板表面上に窒化された高K誘電体層を形成する方法100の一実施形態のフローチャートである。ステップ110において、高K誘電体層は基板表面上に形成される。ステップ120において、基板表面に電圧が印加される。ステップ130において、電圧を維持しながら基板を窒素源を備えるプラズマへ曝して、基板表面上に窒化されたゲート誘電体を形成する。
[0020]ステップ110の高K誘電体層は、原子層堆積(ALD)、化学気相堆積(CVD)、物理気相堆積(PVD)、熱とプラズマの技術及びそれらの組合せのような従来の堆積技術によって基板上に堆積させることができる。好適実施形態において、高k誘電体層は、アプライドマテリアルズ社に譲渡された“ApparatusesAnd MethodsFor AtomicLayer Depositionof Hafnium-containing High-K DielectricMaterials”と称する2004年5月12日出願の係属中の米国仮特許出願第60/570,173号に記載され本明細書に援用されているALDプロセス及び装置によって堆積される。高k誘電体層は、通常約10オングストローム〜約1000オングストローム、好ましくは約20オングストローム〜約500オングストローム、より好ましくは約50オングストローム〜200オングストローム、例えば、約100オングストロームの膜厚で堆積される。
[0021]ステップ130の脱結合プラズマ窒化(DPN)プロセス中に、基板をNとアルゴンのような希ガスプラズマを同時に流すことによって形成される原子Nと衝突させる。Nのほかに、ヒドラジン(例えば、N或いはMeN)、アミン(例えば、MeN、MeNH或いはMeNH)、アニリン(例えば、CNH)、又はアジド(MeN或いはMeSiN)のような他の窒素含有ガスを窒素プラズマを形成するために用いることができる。DPNプロセスに用いることができる他の希ガスとしては、ヘリウム、ネオン、キセノンが挙げられる。窒化プロセスは、約10秒〜約360秒、好ましくは約30秒〜約180秒、例えば、120秒の時間で進行する。また、窒化プロセスは、約300ワット〜約2,700ワットで設定するプラズマ電力と約10ミリトール〜約100ミリトールの圧力で行われる。窒素は、約0.1slm〜約1.0slmの流量を有する。処理ガスの個々のガスフローと全体のガスフローは、処理チャンバの大きさ、処理チャンバの温度、又は処理される基板の大きさのような多くの処理要因に基づいて変えることができる。好適実施形態において、窒化プロセスは、DPNプロセスであり、ArとNを同時に流すことによって形成されるプラズマを含む。
[0022]図2は、カリフォルニア州サンタクララにあるアプライドマテリアルズによって製造されたDPNプロセスリアクタ200を示す概略断面図である。本発明を実施するために用いることができるリアクタの一例である誘導プラズマソースリアクタである。
[0023]リアクタ200は、導電性本体(壁)230内の静電チャック216を有するプロセスチャンバ210と、コントローラ240とを備える。チャンバ210は、実質的に平らな誘電体シーリング220と供給される。チャンバ210の他の変更は、他のタイプのシーリング、例えば、ドーム型シーリングを有してもよい。シーリング220の上には、少なくとも一つの誘導コイル素子212(二つの同軸素子212が示されている)を備えたアンテナが配置される。誘導コイル素子212は、第一整合ネットワーク219を通って、プラズマ電源218に結合される。プラズマ電源218は、典型的には、50kHz〜13.56kHzの範囲の調節可能な周波数で、3000Wまで生成することができる。
[0024]静電チャック216は、誘電材料に埋め込まれた第一電極254と第二電極256とを含む。第一電極と第二電極は、基板214を保持するチャック作用を与えるためにDC電位でバイアスされる。チャック電圧を静電チャック216とウエハ間隔マスクに加えると、基板214の下側と静電チャック216の上に電荷分布が生じる。これらの電荷の対向する極性は、基板214と静電チャック216の間に静電引力を生じる。この力は、処理チャンバ内でプラズマに頼らずにチャックの上に基板214を維持して、基板214に導電接地路を与える。
[0025]単極静電チャックの詳細は、1999年11月9日発行のアプライズマテリアルズに譲渡された“MonopolarElectrostatic Chuck Having An ElectrodeIn ContactWith AWorkpiece”と称する米国特許第5,982,607号に記載され、本発明に矛盾しない程度に本明細書に援用されている。静電チャックの他の例は、1994年5月24日発行のアプライズマテリアルズに譲渡された“TechniqueFor ImprovingChucking Reproducibility”と称する米国特許第5,315,473号に記載され、本発明に矛盾しない程度まで本明細書に援用されている。
[0026]静電チャック216は、第二整合ネットワーク224を通って、バイアス電源222に結合される。バイアス電源222は、一般的には、50kHz〜13.56kHzの調節可能な周波数と0〜5000ワットの電力を有するRF信号を生成することができる。任意で、バイアス電源222はDC源又はパルスDC源を用いてもよい。中央処理装置(CPU)244、メモリ242、及びCPU244の支援回路246を備えるコントローラ240は、述べられた窒化プロセスのようなチャンバ210の要素の制御を容易にする。
[0027]他の実施形態において、静電チャック216を動作する電圧は、分離した“チャック”電源(図示せず)によって供給される。チャック電源の一つの出力端子はチャック電極に接続される。他の出力端子は、典型的には、電気接地するために接続されるが、或いは静電チャック216の金属本体部分に接続されてもよい。動作中、基板は誘電材料と接触して配置され、直流電圧は電極上に配置されて、静電引力又はバイアスを生成して、静電チャック216の上面上に基板を付着させる。
[0028]動作中、半導体ウエハ214は静電チャック216上に配置され、プロセスガスがガスパネル238からエントリポート226を通って供給されて、ガス混合物250を形成する。ガス混合物250は、プラズマ源218から電力を加えることによってチャンバ210内でプラズマ255を生成するように着火する。チャンバ210の内部の中の圧力は、スロットルバルブ227と真空ポンプ236を用いて制御される。典型的には、チャンバ壁230は、電気接地234に結合される。壁230の温度は、壁230を通る液体含有コンジット(図示せず)を用いて制御される。
[0029]基板214の温度は、静電チャック216の温度を安定化することによって制御される。一実施形態において、ガス源248からのヘリウムガスはガスコンジット249を経て静電チャック216の表面に形成されたチャネル(図示せず)と基板214の裏面と静電チャック216の上面との間に形成された細い空間(図示せず)に供給される。処理中、静電チャック216は、静電チャック216のペデスタル内の抵抗ヒータ(図示せず)によって定常状態温度まで加熱することができ、その後、ヘリウムガスが基板214の一様な加熱を容易にする。このような熱制御を用いて、基板214は約200℃〜350℃の温度で維持される。
[0030]上記のようにプロセスチャンバ210の制御を容易にするために、コントローラ240は、種々のチャンバやサブプロセッサを制御するための工業環境に使用し得る汎用コンピュータプロセッサのあらゆる形の一つである。CPU244のメモリ242、又はコンピュータ読取可能媒体は、ランダムアクセスメモリ(RAM)、読み出し専用メモリ(ROM)、フロッピディスク、ハードディスク、又は他のあらゆる形のディジタルストレージ、ローカル或いはリモートのような容易に入手できるメモリの一つ以上であってもよい。支援回路246は、慣用の方法においてプロセッサを支持するためのCPU244に結合される。これらの回路には、キャッシュ、電源、クロック回路、入力/出力電気回路網とサブシステム等が含まれる。本発明の方法は、通常は、ソフトウェアルーチンとしてメモリ242に保存される。ソフトウェアルーチンは、また、CPU244によって制御されているハードウェアから離れて置かれる第二CPU(図示せず)によって保存及び/又は実行されてもよい。
[0031]脱結合プラズマ窒化プロセスリアクタ400の他の詳細は、2004年12月2日発行のアプライズマテリアルズに譲渡された“MethodAnd ApparatusFor PlasmaNitridation Of Gate Dielectrics UsingAmplitude Modulated Radio Frequency Energy”と称する米国特許出願公開第2004/0242021号に記載され、本発明に矛盾しない程度に本明細書に援用されている。適切なDPNチャンバの例としては、DPNCenturaTMが挙げられ、アプライドマテリアルズ社、カリフォルニア州サンタクララから市販されている。
[0032]統合処理シーケンス
[0033]図3は、本発明の方法300の一実施形態である。ステップ310でシリコン基板を第一処理チャンバへ導入することからプロセスが開始する。ステップ320において、基板の表面は、基板の表面上に形成され得る未変性酸化物を除去するために洗浄される。ステップ325において、基板は第二プロセスチャンバに移送される。ステップ330で約5オングストローム〜約100オングストロームのケイ酸ハフニウム(HfSiO)がシリコンウエハ上で成長する。表面洗浄と高k誘電体層形成の詳細な説明は、アプライズマテリアルズに譲渡された“SurfacePre-Treatment ForEnhancement Of Nucleation Of HighDielectric Constant Materials”と称する2002年11月21日出願の米国特許出願公開第2003/0232501号に示され、本明細書に援用されている。ケイ酸ハフニウム層は、この方法を用いて堆積した物質の一例である。本発明は、4.0を超える誘電率を有する高k材料である、他のタイプのゲート誘電体に適用することができる。
[0034]ステップ335において、基板は、HfSiO膜の後堆積アニールのために、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できるCENTURATMTADIANCETM急速加熱処理(RTP)チャンバのようなアニールチャンバに移送される。ステップ340において、基板が約500℃〜約1200℃、好ましくは550-700℃の温度で1秒〜240秒間、好ましくは約30秒〜約90秒、例えば、約650℃で約60秒間、アニールされる後堆積アニールが行われる。一般に、アニールチャンバ雰囲気は、O、N、NH、N、NO、NO、又はそれらの組合せのような少なくとも一つのアニールガスを含有する。アニールチャンバは、約5トール〜約100トール、例えば、約50トールの圧力で維持される。
[0035]ステップ345においては、基板は、少なくとも窒素含有ガスを含むプラズマチャンバへ搬送され、ステップ350において、電圧がウエハ上に印加され、プラズマ窒化物形成が続けられる。電圧は、約300V〜約5000V、例えば、約1200Vである。プラズマ窒化プロセスは、ステップ350において約2秒〜約20分間続けられて、HfSiO形成において窒化用量を制御する。ステップ355において、基板がRTPプロセスチャンバへ戻され、後窒化アニール、ステップ360が行われる。後窒化アニール中、基板は、約600℃〜約1200℃、好ましくは約700-1100℃の温度で、約1秒〜約120秒間、好ましくは約30秒〜90秒間、例えば、約1000℃で約60秒間、アニールされる。一般に、アニールチャンバ雰囲気は、O、N、NH、N、NO、NO、又はそれらの組合せのような少なくとも一つのアニールガスを含む。アニールチャンバは、約5トール〜100トールの圧力、例えば、約15トールで維持される。或いは、後窒化アニールは、不活性ステップ或いは還元ステップに続いて酸化ステップが行われる二ステッププロセスを含む。
[0036]ゲート誘電体を形成した後、多結晶シリコンのようなゲート電極は、低圧化学気相堆積(LPCVD)、原子層エピタキシー(ALE)、熱分解法、又は当該技術において既知の他の方法によって堆積されてもよい。多結晶シリコン層は、通常は、ボロン、リン又はヒ素のようなドーパントを含有する。ゲート電極も、金属層である。
[0037]図4は、本明細書に開示されたプロセスを行うことができる統合処理システム400の概略図である。図4は、本明細書に開示されたプロセスを行うことができる統合システム400の一実施形態の概略平面図である。統合処理システム400は、洗浄モジュール410と熱処理/堆積メインフレームシステム430とを備える。図4に示すように、洗浄モジュール410は、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手できるOASISCLEANTMである。熱処理/堆積メインフレームシステム430は、CENTURATMシステムであり、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から市販されている。本明細書に開示されたプロセスを行うシステムのこの具体的な実施形態は、本発明を説明するものであり、本発明の範囲を限定するために用いるべきではない。
[0038]洗浄モジュール430は、通常は、一つ以上の基板カセット412と、基板搬送領域に配置された一つ以上の搬送ロボット414と、一つ以上の単一基板洗浄チャンバ416とを含む。単一基板洗浄システムの他の態様や実施形態は、2001年6月25日出願の“MethodAnd Apparatusfor WaferCleaning”と称する米国特許出願第09/891,849号と2001年6月25日出願の“WaferSpray Configrationsfor aSingle WaferProcessing Apparatus”と称する米国特許出願第09/891,791号に開示され、いずれの開示内容も本開示内容と矛盾しない程度まで本明細書に全体で援用されている。
[0039]熱処理/堆積メインフレームシステム430は、通常は、ロードロックチャンバ432と、搬送チャンバ434と、処理チャンバ436A、436B、436C、436Dとを含む。搬送チャンバ434は、好ましくは1ミリトール〜100トールであり、好ましくは非反応性ガス雰囲気、例えば、N雰囲気を含む。ロードロックチャンバ432は熱処理/堆積メインフレームシステム430から内外に基板を移送することを可能にし、搬送チャンバ434は低圧非反応性雰囲気を保持する。搬送チャンバは、ロードロックチャンバ432とプロセスチャンバ436A、436B、436C、436Dとの間で基板を移送する一つ以上のブレードを有するロボット440を含む。システム430によって行われる具体的なプロセスが必要でない場合、処理チャンバ436A、436B、436C、436Dのいずれも熱処理/堆積フレームシステム430から取り除くことができる。
[0040]高K誘電体層の形成前に基板の前処理面の未変性酸化物の形成及び/又は汚染を減少させるためにメインフレームシステムについて前処理ステップ320(図3)と高K誘電体層形成330(図3)を行うことは有利であると考えられる。他の実施形態において、前処理ステップは、研磨、エッチング、還元、酸化、ヒドロキシル化、アニール及び/又はベーキングを含むことができる。基板を前処理ステップ320と高K誘電体層形成330との間で空気に曝すと、高K誘電材料のその上での核形成の有効性を低下させることができる。洗浄ステップと他の処理ステップとの間に基板のその上での未変性酸化物の形成及び/又は汚染を更に減少させるために、図4に示すようにメインフレームシステム430と結合された洗浄モジュール410を有することも所望される。他の実施形態において、洗浄ステップが熱プロセス/堆積メインフレームシステムから分離した洗浄モジュール内で行われるのがよいことは当然のことである。
[0041]高K誘電体層を形成するように構成された統合処理システム400の一実施形態は、上記のように脱結合プラズマ窒化プロセスを行うように適合されたプロセスチャンバ436A、ハフニウム含有層のような高誘電率物質を堆積させるように適合された、化学気相堆積チャンバ又は原子層堆積チャンバのような、プロセスを行うように適合されたプロセスチャンバ436Bを備える。他の実施形態において、処理チャンバ436Cは、構造をアニールすることができる急速熱処理(RTP)チャンバを備える。RTPチャンバは、アプライドマテリアルズ社から入手できるXE、XEプラス或いはラジエンスチャンバであってもよい。他の実施形態において、処理チャンバ436Dは、ゲート誘電体層を堆積させるように適合された、アプライドマテリアルズ社から入手できるPOLYgenチャンバのような低圧化学気相堆積チャンバ(LPCVD)を備える。システム400の他の実施形態は本発明の範囲内である。例えば、システムについて具体的な処理チャンバの位置は変更してもよく、処理チャンバの数も変更してもよい。
[0042]上記実施形態は図3と図4に記載されているが、他の統合処理システムとチャンバ組合せは本明細書に記載される実施形態と用いることができることが認識される。更に、かなり多くの処理チャンバが統合されていないシステムの一部であってもよい。
[0043]ゲート誘電体に対するチャックDPNプロセスの性能
[0044]図5Aは、チャックのないプラズマ窒化プロセスに対する酸素、ハフニウム、酸化シリコン、窒素、及びシリコンの濃度のプロファイルを示す。以下のプロセスシーケンスによって、図5Aにおいてチャックのないプロセスの結果が得られた。窒化プロセスは、128秒間、900ワットのプラズマ電力設定で行った。窒素の流量は63sccmであり、アルゴンの流量は137sccmであった。このチャックのないプロセスの間、ウエハ表面にハフニウムフローはなかった。
[0045]図5Aにおいて、x軸は窒化した高k膜の深さをオングストロームで表す。ゲート誘電体/高k接合部は約0オングストロームに置かれ、高k/チャネル接合部は約50オングストロームに置かれる。y軸は、高k膜中に存在する酸素、ハフニウム、酸化シリコン、窒素、及びシリコンの原子パーセント(at%)を表す。約0オングストローム〜約50オングストロームの深さの窒素濃度は、約5at%〜25at%の範囲にある。図5Aが示すように、10オングストロームにおいて、約20at%の窒素があり;20オングストロームにおいて、約28at%の窒素があり、30オングストロームにおいて、約20オングストロームat%の窒素があり、40オングストロームにおいて、約10at%の窒素があり、50オングストロームにおいて、約5%未満がある。
[0046]図5Bは、チャックされたプラズマ窒化プロセスに対する酸素、ハフニウム、酸化したシリコン、窒素、シリコンの濃度プロファイルを示す。以下のプロセスシーケンスによって、図5Bにおいてチャックのないプロセスの結果が得られた。窒化プロセスは、128秒間、900ワットのプラズマ電力設定で行った。窒素流量は63sccmであり、アルゴン流量は137sccmであった。このチャックされたプロセス中、1200Vがウエハに印加され、4Tの圧力のウエハがウエハ表面の上に吹き込まれた。このプロセスシーケンスは、ウエハに加えられた電圧とヘリウムを除き図5Aにおけるプロセスシーケンスと同じであった。
[0047]図5Bにおいて、x軸は窒化した高k膜の深さをオングストロームで表す。ゲート誘電体/高k接合部は約0オングストロームに置かれ、高k/チャネル接合部は約50オングストロームに置かれる。y軸は、膜中に存在する酸素、ハフニウム、酸化シリコン、窒素、及びシリコンの原子パーセント(at%)を表す。約0オングストローム〜約50オングストロームの深さの窒素濃度は、約0at%〜70at%の範囲にある。図5Bが示すように、10オングストロームにおいて、約20at%の窒素があり;15オングストロームにおいて、約70at%の窒素があり;20オングストロームにおいて、約50at%の窒素があり、30オングストロームにおいて、約5オングストロームat%の窒素があり、40オングストロームにおいて、約0at%の窒素があり、50オングストロームにおいて、約0at%の窒素がある。
[0048]図5Aのチャックのないプロセスと図5Bのチャックされたプロセスの比較は、チャックされたプロセスによって、高k膜における局在窒素濃度と高k/チャネル接合部における低窒素濃度のより望ましい結果が得られることを証明している。従って、チャックされたプロセスはゲート漏れの減少と移動度の増大という目的を達成する。
[0049]上記は本発明の実施形態に関するが、本発明の更に多くの実施形態がその基本的範囲から離れることなく工夫され、その範囲は続く特許請求の範囲によって決定される。
図1は、本発明によるプロセス流れ図である。 図2は、本発明の実施形態によるプラズマリアクタを示す概略図である。 図3は、本発明によるプロセス流れ図である。 図4は、統合処理システムの概略図である。 図5A、はチャックのないプラズマ窒化プロセスについて酸素、ハフニウム、酸化シリコン、窒素、及びシリコンの濃度プロファイルを示すグラフである。 図5Bはチャックされたプラズマ窒化プロセスについて酸素、ハフニウム、酸化シリコン、窒素、シリコンの濃度プロファイルをグラフである。
符号の説明
200…リアクタ、210…プロセスチャンバ、212…誘導コイル素子、214…基板、216…静電チャック、218…プラズマ源、219…整合ネットワーク、220…誘電体シーリング、222…バイアス電源、226…エントリポート、227…スロットルバルブ、230…導電性本体、236…真空ポンプ、238…ガスパネル、240…コントローラ、242…メモリ、244…中央処理装置、246…支援回路、249…ガスコンジット、250…ガス混合物、400…統合処理システム、410…洗浄モジュール、412…基板カセット、414…搬送ロボット、416…洗浄チャンバ、430…熱処理/堆積メインフレームシステム、432…ロードロックチャンバ、434…搬送チャンバ、436…処理チャンバ、440…ロボット。

Claims (20)

  1. 窒化されたゲート誘電体を形成する方法であって、
    ゲート誘電体膜を備える基板を準備するステップと;
    該基板上に電圧を誘導させるステップと;
    該電圧を維持しながら窒素源を備えるプラズマに該基板を曝して、該基板上に窒化されたゲート誘電体を形成するステップと;
    を含む前記方法。
  2. 該電圧が連続DCバイアス電圧を含む、請求項1に記載の方法。
  3. 該電圧が約5000V未満である、請求項1に記載の方法。
  4. 該電圧が約1200V未満である、請求項3に記載の方法。
  5. 該基板上に電圧を誘導させるステップが、該基板を支持する静電チャックにDCバイアス電圧を印加する工程を含む、請求項1に記載の方法。
  6. 該基板上に電圧を誘導させるステップが、該基板に隣接して位置する電極にDCバイアス電圧を印加する工程を含む、請求項1に記載の方法。
  7. 該電極が、環形、D形、又は他の電極と互いにかみ合った形を含む、請求項6に記載の方法。
  8. 該ゲート誘電体が、二酸化シリコン、オキシ窒化シリコン、窒化シリコン、酸化ハフニウム、ケイ酸ハフニウム、オキシ窒化シリコンハフニウム、酸化ジルコニウム、ケイ酸ジルコニウム、チタン酸ストロンチウムバリウム、及びチタン酸ジルコニウム鉛からなる群より選ばれる、請求項1に記載の方法。
  9. 該プラズマが、誘導結合電源、容量結合電源、表面波電源、マイクロ波電源、電子サイクロトロン共鳴及びマグネトロン型ソース又は改良マグネトロン型ソースからなる群より選ばれるプラズマ電源に電力を印加することによって供給される、請求項1に記載の方法。
  10. プラズマプロセスに該基板を曝すステップが、約1ミリトール〜約1トールの圧力で行われる、請求項1に記載の方法。
  11. 該窒素含有プラズマのプロセスガスが、窒素ガスとアンモニアガスの少なくとも一つを約50sccm〜20slmの流量で含む、請求項1に記載の方法。
  12. 窒化されたゲート誘電体を形成する方法であって、
    ゲート誘電体膜を備える基板を準備するステップと;
    該基板を支持する静電チャックに電圧を印加することによって該基板上に電圧を誘導させるステップと;
    窒素源を備えるプラズマに該基板を曝して、該基板上に窒化されたゲート誘電体を形成するステップと;
    を含む前記方法。
  13. 該電圧が5000V未満の連続DCバイアス電圧を含む、請求項12に記載の方法。
  14. 該電圧が1200V未満である、請求項13に記載の方法。
  15. プラズマに該基板を曝すステップが、約2秒間〜約360秒間、約900ワットのプラズマ電力設定で行われる、請求項12に記載の方法。
  16. 該ゲート誘電体が、二酸化シリコン、オキシ窒化シリコン、窒化シリコン、酸化ハフニウム、ケイ酸ハフニウム、オキシ窒化シリコンハフニウム、酸化ジルコニウム、ケイ酸ジルコニウム、チタン酸ストロンチウムバリウム及びチタン酸ジルコニウム鉛からなる群より選ばれる、請求項12に記載の方法。
  17. 統合処理システム内で窒化されたゲート誘電体を形成する方法であって、
    シリコンを含む基板を統合処理システムの第一処理チャンバへ導入するステップと;
    該基板上に誘電体膜を形成するステップと;
    該統合処理システムの第二処理チャンバに該基板を移送するステップと;
    該基板をアニールするステップと;
    該統合処理システムの第三処理チャンバに該基板を移送するステップと;
    該基板上に電圧を誘導させるステップと;
    窒素源を備えるプラズマに該基板を曝して、該基板上に窒化されたゲート誘電体を形成するステップと;
    を含む前記方法。
  18. 該統合処理システムの該第二処理チャンバに該基板を移送するステップと;
    該基板をアニールするステップと;
    を更に含む、請求項17に記載の方法。
  19. 該統合処理システムの第四処理チャンバに該基板を移送するステップと;
    該基板上に多結晶シリコン層を堆積させるステップと;
    を更に含む、請求項18に記載の方法。
  20. 該基板上に該電圧を誘導させるステップが、1200V未満のバイアス電圧を4トールのヘリウムの圧力で印加する工程を含む、請求項19に記載の方法。
JP2008527963A 2005-08-23 2006-08-10 ゲート誘電体層の高k窒化物形成における窒素プロファイルエンジニアリング Pending JP2009506537A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/209,472 US20070049043A1 (en) 2005-08-23 2005-08-23 Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
PCT/US2006/031132 WO2007024493A1 (en) 2005-08-23 2006-08-10 Nitrogen profile engineering in high-k nitridation of a gate dielectric layer

Publications (1)

Publication Number Publication Date
JP2009506537A true JP2009506537A (ja) 2009-02-12

Family

ID=37414133

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008527963A Pending JP2009506537A (ja) 2005-08-23 2006-08-10 ゲート誘電体層の高k窒化物形成における窒素プロファイルエンジニアリング

Country Status (5)

Country Link
US (1) US20070049043A1 (ja)
JP (1) JP2009506537A (ja)
KR (1) KR20080046647A (ja)
TW (1) TW200739726A (ja)
WO (1) WO2007024493A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020532113A (ja) * 2017-08-18 2020-11-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法
JP2022077990A (ja) * 2020-11-06 2022-05-24 アプライド マテリアルズ インコーポレイテッド 材料構造を改良するための処理
JP2022531859A (ja) * 2019-05-03 2022-07-12 アプライド マテリアルズ インコーポレイテッド 材料構造を向上させる処理

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP5590886B2 (ja) * 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
US20080254588A1 (en) * 2007-04-16 2008-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming transistors with high-k dielectric layers and transistors formed therefrom
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US8110490B2 (en) * 2007-08-15 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate oxide leakage reduction
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8064185B2 (en) * 2008-09-05 2011-11-22 Applied Materials, Inc. Electrostatic chuck electrical balancing circuit repair
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR101599724B1 (ko) * 2009-02-16 2016-03-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
JP2011077321A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 選択的プラズマ窒化処理方法及びプラズマ窒化処理装置
US8882740B2 (en) 2009-12-23 2014-11-11 Stryker Trauma Gmbh Method of delivering a biphosphonate and/or strontium ranelate below the surface of a bone
US9054048B2 (en) * 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US8637381B2 (en) 2011-10-17 2014-01-28 International Business Machines Corporation High-k dielectric and silicon nitride box region
CN105556676B (zh) 2013-09-27 2019-03-19 英特尔公司 具有ⅲ-ⅴ族材料有源区和渐变栅极电介质的半导体器件
US10246772B2 (en) 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10633740B2 (en) 2018-03-19 2020-04-28 Applied Materials, Inc. Methods for depositing coatings on aerospace components
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002329777A (ja) * 2001-05-07 2002-11-15 Tokyo Electron Ltd プラズマ処理方法及び基板保持装置
JP2004087865A (ja) * 2002-08-28 2004-03-18 Hitachi Ltd 半導体装置の製造方法

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US761269A (en) * 1903-10-01 1904-05-31 Elizabeth S Tillinghast Parasol for children's carriages.
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0639357B2 (ja) * 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
KR100282853B1 (ko) * 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
TW419732B (en) * 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
US6305314B1 (en) * 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
KR100682190B1 (ko) * 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
WO2001038486A2 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
DE10034003A1 (de) * 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
EP1301941A2 (en) * 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100814980B1 (ko) * 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 산화물, 규산염 및 인산염의 증기를 이용한 석출
US6486080B2 (en) * 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) * 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
JP4938962B2 (ja) * 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW512504B (en) * 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030080389A1 (en) * 2001-10-31 2003-05-01 Jerry Hu Semiconductor device having a dielectric layer with a uniform nitrogen profile
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
WO2003081667A1 (en) * 2002-03-26 2003-10-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US7183604B2 (en) * 2002-06-10 2007-02-27 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant device
JP2005530344A (ja) * 2002-06-12 2005-10-06 アプライド マテリアルズ インコーポレイテッド プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6875678B2 (en) * 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6716287B1 (en) * 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
DE10314504B4 (de) * 2003-03-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer nitridhaltigen Isolationsschicht durch Kompensieren von Stickstoffungleichförmigkeiten
US20050070126A1 (en) * 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) * 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US6881437B2 (en) * 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002329777A (ja) * 2001-05-07 2002-11-15 Tokyo Electron Ltd プラズマ処理方法及び基板保持装置
JP2004087865A (ja) * 2002-08-28 2004-03-18 Hitachi Ltd 半導体装置の製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020532113A (ja) * 2017-08-18 2020-11-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法
JP7194171B2 (ja) 2017-08-18 2022-12-21 ラム リサーチ コーポレーション プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法
JP2022531859A (ja) * 2019-05-03 2022-07-12 アプライド マテリアルズ インコーポレイテッド 材料構造を向上させる処理
JP7297932B2 (ja) 2019-05-03 2023-06-26 アプライド マテリアルズ インコーポレイテッド 材料構造を向上させる処理
JP2022077990A (ja) * 2020-11-06 2022-05-24 アプライド マテリアルズ インコーポレイテッド 材料構造を改良するための処理
JP7313414B2 (ja) 2020-11-06 2023-07-24 アプライド マテリアルズ インコーポレイテッド 材料構造を改良するための処理

Also Published As

Publication number Publication date
WO2007024493A1 (en) 2007-03-01
US20070049043A1 (en) 2007-03-01
TW200739726A (en) 2007-10-16
KR20080046647A (ko) 2008-05-27

Similar Documents

Publication Publication Date Title
JP2009506537A (ja) ゲート誘電体層の高k窒化物形成における窒素プロファイルエンジニアリング
US7837838B2 (en) Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US6348373B1 (en) Method for improving electrical properties of high dielectric constant films
US7645710B2 (en) Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP5590886B2 (ja) 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
US7429538B2 (en) Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7115530B2 (en) Top surface roughness reduction of high-k dielectric materials using plasma based processes
US7569502B2 (en) Method of forming a silicon oxynitride layer
US20070212895A1 (en) Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070209930A1 (en) Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP4914573B2 (ja) 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
US9224594B2 (en) Surface preparation with remote plasma
KR101216199B1 (ko) 낮은 에너지 플라즈마 시스템을 이용하여 하이 유전상수 트랜지스터 게이트를 제조하기 위한 방법 및 장치
JP2004214661A (ja) トランジスタゲートの製造及び高誘電率ゲート誘電体の粗さを減少する方法
JP4931939B2 (ja) 半導体デバイスを形成する方法
US20110312179A1 (en) Substrate processing method and substrate processing apparatus
TWI459471B (zh) 使用低能量電漿系統製造高介質常數電晶體閘極的方法與設備
KR100928023B1 (ko) 반도체 소자 및 그 제조방법
TWI794274B (zh) 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備
US20180033619A1 (en) Performing decoupled plasma fluorination to reduce interfacial defects in film stack
Shi et al. Properties of high-k/ultrahigh purity silicon nitride stacks
JP4523994B2 (ja) 電界効果トランジスタの製造方法
US20070010103A1 (en) Nitric oxide reoxidation for improved gate leakage reduction of sion gate dielectrics
JP4523995B2 (ja) 電界効果トランジスタの製造方法
US20230178375A1 (en) Methods for forming work function modulating layers

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090709

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110825

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120411