JP2020532113A - プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法 - Google Patents

プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法 Download PDF

Info

Publication number
JP2020532113A
JP2020532113A JP2020509491A JP2020509491A JP2020532113A JP 2020532113 A JP2020532113 A JP 2020532113A JP 2020509491 A JP2020509491 A JP 2020509491A JP 2020509491 A JP2020509491 A JP 2020509491A JP 2020532113 A JP2020532113 A JP 2020532113A
Authority
JP
Japan
Prior art keywords
hfo
layer
plasma treatment
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020509491A
Other languages
English (en)
Other versions
JP7194171B2 (ja
Inventor
ユン・ヒュンソク・アレクサンダー
ジュウ・ジョンウェイ
チェ・ファン・サン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020532113A publication Critical patent/JP2020532113A/ja
Application granted granted Critical
Publication of JP7194171B2 publication Critical patent/JP7194171B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【解決手段】基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を形成するための方法は、基板を基板処理システムの処理チャンバー内に配置すること、基板上にHfO2層を堆積させること、HfO2層のプラズマ処理を実施すること、およびHfO2層をアニールして、強誘電体ハフニウムHfO2を形成することを含む。【選択図】図2

Description

[関連出願の相互参照]
本出願は、2018年8月2日に出願された、米国実用新案出願第16/052,963号の優先権を主張するものであり、また、2017年12月1日に出願された米国特許仮出願第62/593,530号および2017年8月18日に出願された米国特許仮出願第62/547,360号の利益を主張するものである。上記に引用されている出願の開示は全体が、参照により本明細書に組み込まれる。
本開示は、基板を処理するための方法に関し、より具体的には、プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料を含むデバイスの性能を向上させるための方法に関する。
ここで提供される背景技術の説明は、本開示の状況を一般的に提示するためのものである。本発明者らの研究は、この背景技術にて、ならびにそうでなければ出願時の従来技術とみなされ得ない説明の態様にて説明されている範囲において、本開示に対する先行技術であるとは明示的にも黙示的にも認められない。
酸化ハフニウム(HfO2)に基づく材料に強誘電性挙動が発見されたことにより、強誘電体メモリ(FeRAM)に関する研究が盛んになった。ジルコン酸チタン酸鉛(PZT)などの従来型強誘電体材料は、厚さが50ナノメートル(nm)未満の場合、適切なスイッチングウィンドウを示さない。したがって、PZTは、50nm未満である特徴サイズを有するデバイス(例えば50nmよりも薄い膜)には使用することができない。
HfO2は、保磁力場が高いため、5nmと薄い厚さまで優れた強誘電性スイッチングヒステリシスを示す。HfO2は、3Dメモリ構造の良好な候補でもある。HfO2は、ゲート誘電体としてCMOS技術に広く使用されている。こうした応用では、HfO2は、共形(conformal)原子層堆積法(ALD)を使用して堆積される。したがって、HfO2は、現行の3D NAND集積スキームを使用した3D FeRAMへの集積に好適であり得る。
基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を形成するための方法は、基板を基板処理システムの処理チャンバー内に配置すること、基板上にHfO2層を堆積させること、HfO2層のプラズマ処理を実施すること、およびHfO2層をアニールして、強誘電体ハフニウムHfO2を形成することを含む。
他の特徴では、HfO2層は、原子層堆積法(ALD)を使用して堆積される。本方法は、HfO2層をドープすることをさらに含む。HfO2層のドーピングは、ケイ素、アルミニウム、イットリア、ランタン、およびジルコニウムの少なくとも1つでHfO2層をドープすることを含む。HfO2層のドーピングは、0〜60mol%のドーパント種でHfO2層をドープすることを含む。HfO2層の堆積は、基板上にHfO2を堆積させるサイクルおよび堆積させたHfO2をドープするサイクルを交互に行うことを含む。HfO2層の厚さは、6〜12nmである。HfO2層の堆積およびHfO2層のプラズマ処理実施のサイクルを交互に行う。
他の特徴では、プラズマ処理の実施は、少なくとも1つのプラズマガス種を使用して、プラズマ処理を実施することを含む。少なくとも1つのプラズマガス種としては、分子窒素(N2)、アンモニア(NH3)、分子酸素(O2)、オゾン(O3)、アルゴン(Ar)、ならびにアルゴンおよび分子水素(Ar/H2)の少なくとも1つが挙げられる。プラズマ処理の実施は、分子窒素(N2)を用いてプラズマ処理を実施することを含み、N2を用いたプラズマ処理の実施は、HfO2層の表面上にHfOxyの形成を引き起こす。
他の特徴では、プラズマ処理の実施は、15〜60秒間にわたってプラズマ処理を実施することを含む。プラズマ処理の実施は、500〜1200ワットの高周波(RF)電力でプラズマ処理を実施することを含む。RF電力は、1〜15MHzで提供される。HfO2層のアニールは、500〜1100℃の温度でHfO2層をアニールすることを含む。HfO2層のアニールは、800〜1000℃の温度でHfO2層をアニールすることを含む。アニールの前に、上部電極をHfO2層に堆積させる。上部電極は、窒化タンタル、窒化チタン、およびタングステンの少なくとも1つを含む。基板上へのHfO2層の堆積は、基板上に形成されている下部層および底部電極の1つにHfO2層を堆積させることを含む。
基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を含む基板を処理するための方法は、絶縁体層を含む基板を基板処理システムの処理チャンバー内に配置すること、絶縁体層の熱処理およびプラズマ処理の少なくとも1つを実施すること、絶縁体層上にHfO2層を堆積させること、およびHfO2層をアニールして、強誘電体ハフニウムHfO2を形成することを含む。
他の特徴では、絶縁体層は、二酸化ケイ素(SiO2)およびケイ素オキシ窒化物(SiON)の1つを含む。熱処理およびプラズマ処理の少なくとも1つの実施は、熱処理およびプラズマ処理を連続して実施することを含む。熱処理およびプラズマ処理の少なくとも1つの実施は、基板の温度を1〜30分間にわたって200〜600℃に上昇させることを含む。熱処理およびプラズマ処理の少なくとも1つの実施は、N2、N2/H2、NH3、O2、およびOの少なくとも1つを処理チャンバーに提供することを含む。
他の特徴では、本方法は、HfO2層のプラズマ処理を実施することをさらに含む。HfO2層は、原子層堆積法(ALD)を使用して堆積される。本方法は、HfO2層をドープすることをさらに含む。
基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を含む基板を処理するための方法は、絶縁体層を含む基板を基板処理システムの処理チャンバー内に配置すること、少なくとも1つの第1のHfO2層を絶縁体層上に堆積させること、少なくとも1つの第1のHfO2層の熱処理およびプラズマ処理の少なくとも1つを実施すること、少なくとも1つの第2のHfO2層を少なくとも1つの第1のHfO2層上に堆積させること、ならびに少なくとも1つの第2のHfO2層および少なくとも1つの第1のHfO2層をアニールして、強誘電体ハフニウムHfO2層を形成することを含む。
他の特徴では、絶縁体層は、二酸化ケイ素(SiO2)およびケイ素オキシ窒化物(SiON)の1つを含む。熱処理およびプラズマ処理の少なくとも1つの実施は、熱処理およびプラズマ処理を連続して実施することを含む。熱処理およびプラズマ処理の少なくとも1つの実施は、基板の温度を1〜30分間にわたって200〜600℃に上昇させることを含む。熱処理およびプラズマ処理の少なくとも1つの実施は、N2、N2/H2、NH3、O2、およびO3の少なくとも1つを処理チャンバーに提供することを含む。
他の特徴では、少なくとも1つの第1のHfO2層は、少なくとも1つの第2のHfO2層を堆積させるために使用されるドーズ時間よりも長いドーズ時間に従って堆積される。本方法は、少なくとも1つの第1のHfO2層を堆積させる前に、絶縁体層の熱処理およびプラズマ処理の少なくとも1つを実施することをさらに含む。少なくとも1つの第1のHfO2層および少なくとも1つの第2のHfO2層は、原子層堆積法(ALD)を使用して堆積される。
本開示が適用可能なさらなる分野は、詳細な説明、特許請求の範囲、および図面から明白になるだろう。詳細な説明および具体的な例は、例示のために過ぎないことが意図されており、本開示の範囲を限定することは意図されていない。
本開示は、詳細な説明および添付の図面から、より完全に理解されることになるだろう。
本開示による、窒化HfO2を含む基板の側面断面視である。 本開示による、窒化HfO2を含む基板の側面断面視である。
本開示による、HfO2に基づく強磁性材料の漏洩電流を低減するための方法の一例のフローチャートである。
本開示による、HfO2を堆積およびドープするための方法の一例のフローチャートである。
本開示による、HfO2を堆積させ、任意選択でドープし、および窒化するための基板処理チャンバーの一例の機能ブロックダイアグラムである。
本開示による、金属層、強磁性体層、絶縁体層、および半導体層を含む積層体を含む基板の側面断面視である。
図5の基板において、HfO2を堆積させ、任意選択でドープし、および窒化するための方法の一例のフローチャートである。
本開示による、基板の堆積、任意選択のドーピング、およびプラズマ処理のための別の方法の一例のフローチャートである。
本開示による、基板の堆積、任意選択のドーピング、およびプラズマ処理のための別の方法の一例のフローチャートである。
本開示による、基板の堆積、ドーピング、およびプラズマ処理のための方法の一例のフローチャートである。
プラズマ処理を実施するために変圧器結合プラズマを使用する基板処理システムの機能ブロックダイアグラムである。
本開示による、絶縁体層を前処理することを含む例示的プロセスの側面断面視である。 本開示による、絶縁体層を前処理することを含む例示的プロセスの側面断面視である。 本開示による、絶縁体層を前処理することを含む例示的プロセスの側面断面視である。 本開示による、絶縁体層を前処理することを含む例示的プロセスの側面断面視である。 本開示による、絶縁体層を前処理することを含む例示的プロセスの側面断面視である。 本開示による、絶縁体層を前処理することを含む例示的プロセスの側面断面視である。
本開示による、1つまたは複数のHfO2層を処理することを含む例示的プロセスの側面断面視である。 本開示による、1つまたは複数のHfO2層を処理することを含む例示的プロセスの側面断面視である。 本開示による、1つまたは複数のHfO2層を処理することを含む例示的プロセスの側面断面視である。 本開示による、1つまたは複数のHfO2層を処理することを含む例示的プロセスの側面断面視である。 本開示による、1つまたは複数のHfO2層を処理することを含む例示的プロセスの側面断面視である。 本開示による、1つまたは複数のHfO2層を処理することを含む例示的プロセスの側面断面視である。
本開示による、絶縁体層を前処理するためのおよび/または1つもしくは複数のHfO2層を処理するための方法の一例のフローチャートである。
図面において、参照番号は、類似のおよび/または同一の要素を特定するために再使用される場合がある。
しかしながら、HfO2の熱安定性は、FeRAM応用を商業化するための障害である。600〜650℃の温度は、堆積させたままの非晶質HfO2を強誘電体相へと結晶化させるのに十分な程度に高温であるが、多くの集積スキームは、少なくとも1000℃のサーマルバジェットを必要とする。より高いプロセス温度は、漏洩電流および/またはデバイスの短絡を増加させることにより、HfO2に基づくFeRAMを劣化させる。
高温アニール後の漏洩の原因としては、上部電極/HfO2界面における欠陥発生が挙げられる。漏洩電流の別の原因は、HfO2の膜亀裂が挙げられる。HfO2が亀裂すると、上部および底部電極(典型的にはTiN)からの原子が、HfO2へと自由に拡散することができ、それにより最終的にはデバイスの故障が引き起こされる。
本開示による方法は、HfO2に基づく強誘電体材料の漏洩電流を低減する。下記にさらに記載されている他のステップに加えて、本開示による方法は、ドープまたは非ドープHfO2を下部層上に堆積させること、および分子窒素(N2)、アンモニア(NH3)、分子酸素(O2)、オゾン(O3)、アルゴン(Ar)、ならびに/またはアルゴンおよび分子水素(Ar/H2)プラズマを使用してHfO2膜のプラズマ処理を実施することを含む。その後、窒化チタン(TiN)、窒化タンタル(TaN)、イリジウム(Ir)、またはタングステン(W)などの上部電極を、処理したHfO2膜上に堆積させる。基板を、500℃から1100℃までの範囲の所定温度での急速熱アニールを使用してアニールする。同様の手法を、金属、強磁性体、絶縁体、および半導体(MFIS)層を含む積層体に使用することができる。
プラズマ処理を使用して、HfO2に基づく強誘電体材料の熱安定性を向上させる。プラズマ処理はHfO2膜を高密度化し、それによりその後の高温アニール中の収縮(容積減少)および亀裂が少なくなる。図2、3、および6では、プラズマ処理は、窒化を含む。図7〜9には、Ar、Ar/H2、O2、O3、および/またはNH3を使用する他のプラズマ処理が開示されている。
例えば、N2プラズマの使用は、HfO2の表面にHfOxyを形成する。HfO2表面の窒化は、その後の処理ステップでの上部電極/HfO2界面における欠陥の発生を低減し、それにより漏洩電流が軽減される。
他の例では、HfO2のALDサイクル前におよび/またはサイクル間にプラズマおよび/または熱処理プロセスにより基板を前処理することにより、漏洩がさらに低減され、デバイスのメモリウィンドウの幅が広がる。
ここで図1Aおよび1Bを参照すると、本開示による、酸化ハフニウム(HfO2)に基づく強誘電体材料を含むデバイスの一例が示されている。図1Aでは、基板10は、1つまたは複数の下部層12、および下部層12に配置された底部電極14を含む。一部の例では、底部電極14は、窒化チタン(TiN)、窒化タンタル(TaN)、イリジウム(Ir)、またはタングステン(W)を含むが、他の電極材料を使用することができる。一部の例では、底部電極14は、原子層堆積法(ALD)、化学蒸着法(CVD)、または物理蒸着法(PVD)を使用して堆積される。
HfO2層16を堆積させる。一部の例では、堆積されたHfO2層16は、5nmから12nmまでの範囲の厚さを有する。一部の例では、HfO2層16を、ケイ素(Si)、アルミニウム(Al)、イットリウム(Yt)、ジルコニウム(Zr)、および/またはランタン(La)からなる群から選択されるドーパント種を使用してドープする。一部の例では、HfO2層16は、原子層堆積法(ALD)を使用して堆積させるが、他のプロセスを使用することができる。例えば、熱ALDまたはプラズマALDを使用することができる。一部の例では、HfO2層16をドープしない。他の例では、選択されたドーパント種の0mol%超から60mol%以下までの所定のドーピングレベルでHfO2層16をドープする。一部の例では、選択されたドーパント種の3mol%から5mol%までの所定のドーピングレベルでHfO2層16をドープする。
一部の例では、T回のALDスーパーサイクルを実施して、ドープHfO2層を堆積させ、Tは1よりも大きな整数である。各ALDスーパーサイクルは、N回のALD HfO2サイクル、およびドーパント種のM回のALDサイクルを含み、T、N、およびMは、0よりも大きな整数である。スーパーサイクルの各々内のN回のALD HfO2サイクルおよびドーパント種のM回のALDサイクルは、任意の順序で実施することができる。一部の例では、プラズマ処理は、T回のスーパーサイクルの2つもしくは複数の間に、および/またはT回のスーパーサイクル後に実施される。
HfO2層16のプラズマ処理を実施する。例えば、窒素ガス種を含むプラズマによりHfO2層16を窒化する。例えば、分子窒素(N2)ガスを使用してもよい。一部の例では、15秒から60秒までの範囲の所定期間中に窒化を実施する。一部の例では、RF電力は、100Wから15kWまでの範囲であってもよい。一部の例では、プラズマ電力は、500Wから1200Wまでの範囲である。一部の例では、RF周波数は、1MHzから15MHzまでの範囲であってもよい。一部の例では、RF周波数は、2.0MHzおよび/または13.56MHzである。
窒化後、上部電極18をHfO2層16上に堆積させる。一部の例では、上部電極18は、TiN、TaN、Ir、またはWを含むが、他の電極材料を使用することができる。一部の例では、上部電極18は、原子層堆積法(ALD)、化学蒸着法(CVD)、または物理蒸着法(PVD)を使用して堆積させる。
上部電極18を堆積させた後、500℃から1100℃までの範囲の所定温度で基板10をアニールする。他の例では、アニール温度は、800℃から1000℃までの範囲である。アニール後、上部電極18をパターン化する。例えば、マスク20を使用してもよい。ウェットエッチングまたはドライエッチングを使用して上部電極をエッチングする。一部の例では、任意選択で、マスク20をエッチング後に除去する。他の例では、マスクを除去しない。
図1Bには、デバイスの具体的な例が示されている。基板30は、ケイ素(Si)層32を含む。TiN製の底部電極34をSi層32上に配置する。SiドープHfO2層36を底部電極34上に堆積させる。SiドープHfO2層36を、本明細書に記載のプラズマ処理の1つを使用して処理し、その後TiN製の上部電極38をSiドープHfO2層36上に堆積させる。基板30を所定温度でアニールする。上部電極38を、白金(Pt)などの不活性金属層40を使用してパターン化し、ウエットまたはドライエッチングを使用してエッチングする。
ここで図2を参照すると、方法60は、基板を準備することを含む。64では、底部電極層(TiN、TaN、Ir、またはWを含む)を基板上に堆積させる。66では、ドープまたは非ドープHfO2層を底部電極層上に堆積させる。68では、プラズマおよび窒素種を使用してHfO2層を窒化する。72では、上部電極層(TiN、TaN、Ir、またはWを含む)を、窒化されたHfO2層上に堆積させる。74では、500℃から1100℃までの範囲の所定温度への急速熱アニールを使用して、基板を処理する。一部の例では、上部電極を、78にてパターン化し、82にてエッチングする。
ここで図3を参照すると、T回のALDスーパーサイクルを使用してドープHfO2層を堆積させるための方法90が示されている。92では、N回のALD HfO2サイクルを実施し、ドーパント種のM回のALDサイクルを実施する(T、N、およびMは、0よりも大きな整数である)。理解し得ることだが、N回のALD HfO2サイクルおよびドーパント種のM回のALDサイクルは、所与のスーパーサイクル中に任意の順序で実施することができる。96では、本方法は、追加のスーパーサイクルを実施する必要がある場合には92へと戻るか、またはT回のスーパーサイクルが完了した場合には終了する。
ここで図4を参照すると、原子層堆積法(ALD)を使用してHfO2層を堆積させ、任意選択でドープし、HfO2層を窒化するための例示的な基板処理システム100が示されている。HfO2層の堆積およびドーピングならびにその後の窒化は、この例では同じ処理チャンバーで実施されているが、個別の処理チャンバーを使用することができる。例えば、窒化は、変圧器結合プラズマ(transformer coupled plasma)(TCP)チャンバー(例えば、図10に示されているように)、プラズマ化学蒸着(PECVD)チャンバー、高圧CVD(HPCVD)チャンバー、および/または遠隔プラズマ源を使用するチャンバーで実施することもできる。
基板処理システム100は、基板処理チャンバー100の他の構成要素を取り囲み、RFプラズマを含有する処理チャンバー102を含む。基板処理チャンバー100は、上方電極104および静電チャック(ESC)106などの基板支持体を含む。作動中、基板108はESC106上に配置される。
例示のために過ぎないが、上方電極104は、プロセスガスを導入および分配するシャワーヘッド109を含んでいてもよい。シャワーヘッド109は、処理チャンバーの上部表面に接続される1つの端部を含む軸部分を含んでいてもよい。底部部分は、一般に円柱状であり、処理チャンバーの上部表面から離間されている位置の軸部分の反対側の端部から放射状外側に伸長する。シャワーヘッドの底部部分の基板側表面またはフェイスプレートは、プロセスガスまたはパージガスが通過して流れる複数の穴を含む。あるいは、上方電極104は、導電性プレートを含んでいてもよく、プロセスガスは、別の様式で導入してもよい。
ESC106は、下方電極として作動する導電性底部プレート110を含む。底部プレート110は、加熱プレート112を支持し、加熱プレート112は、セラミック多区画加熱プレートに相当してもよい。耐熱層114が、加熱プレート112と底部プレート110との間に配置されていてもよい。底部プレート110は、底部プレート110を通して冷却剤を流すための1つまたは複数の冷却剤チャネル116を含んでいてもよい。
RF発生システム120は、RF電圧を発生させ、上方電極104および下方電極(例えばESC106の底部プレート110)の1つに出力する。上方電極104および底部プレート110の他方は、アース付きDC、アース付きAC、または未接続であってもよい。例示のために過ぎないが、RF発生システム120は、マッチングおよび配電ネットワーク124により、上方電極104または底部プレート110へと給送されるRF電圧を発生させるRF電圧発生器122を含んでいてもよい。他の例では、プラズマは、電磁誘導でまたは遠隔的に発生させてもよい。
ガス搬送システム130は、1つまたは複数のガス供給源132−1、132−2、...および132−N(総称してガス供給源132)を含み、Nはゼロよりも大きな整数である。ガス供給源は、1つまたは複数の堆積前駆体およびそれらの混合物を供給する。ガス前駆体は、HfO2層および/または他の層の前駆体ガスを含んでいてもよい。また、ガス供給源は、パージガス、ならびにプラズマ窒化のための窒素種および/または他のプラズマ処理用の他のガス種(Ar、Ar/H2、NH3、O2、O3など)を含むガスを供給してもよい。また、気化前駆体を使用してもよい。ガス供給源132は、バルブ134−1、134−2、および134−N(総称してバルブ134)、ならびにマスフローコントローラ136−1、136−2、および136−N(総称してマスフローコントローラ136)によりマニフォールド138に接続されている。マニフォールド138の出力は、処理チャンバー102へと給送される。例示のために過ぎないが、マニフォールド138の出力は、シャワーヘッド109へと給送される。一部の例では、任意選択のオゾン発生器140が、マスフローコントローラ136とマニフォールド138との間に提供されていてもよい。一部の例では、基板処理システム100は、液体前駆体搬送システム141を含んでいてもよい。液体前駆体搬送システム141は、図示されるようにガス搬送システム130内に組み込まれていてもよく、またはガス搬送システム130の外部にあってもよい。液体前駆体搬送システム141は、バブラー、直接液体注入、蒸気吸引などにより、室温で液体および/または固体である前駆体を提供するように構成されている。
温度コントローラ142が、加熱プレート112に配置された複数の熱制御要素(TCE)144に接続されていてもよい。例えば、TCE144としては、これらに限定されないが、図2Aおよび2Bにより詳細に記載されているような、多区画加熱プレートの各区画に対応するそれぞれのマイクロTCEおよび/または多区画加熱プレートの複数の区画にわたって設置されているマイクロTCEのアレイが挙げられてもよい。温度コントローラ142を使用して、複数のTCE144を制御し、ESC106および基板108の温度を制御してもよい。
温度コントローラ142は、冷却剤アッセンブリ146と通信して、チャネル116を通る冷却剤流動を制御してもよい。例えば、冷却剤アッセンブリ146は、冷却剤ポンプおよびレザバーを含んでいてもよい。温度コントローラ142は、冷却剤アッセンブリ146を操作して、冷却剤を選択的にチャネル116に流し、ESC106を冷却する。
バルブ150およびポンプ152を使用して、反応物を処理チャンバー102から排出してもよい。システムコントローラ160を使用して、基板処理システム100の構成要素を制御してもよい。ロボット170を使用して、基板をESC106上に搬送し、基板をESC106から取り除いてもよい。例えば、ロボット170は、ESC106とロードロック172との間の基板の移送を行ってもよい。温度コントローラ142は、個別のコントローラとして示されているが、システムコントローラ160内に実装されていてもよい。温度コントローラ142は、本開示の原理によるESC106の温度を評価するための1つまたは複数のモデルを実装するようにさらに構成されていてもよい。
一般に、より多くの窒素が高プラズマ電力でHfO2表面に組み込まれると、それに伴って膜亀裂がより少なくなる。しかしながら、漏洩電流は、組み込まれた窒素の量に厳密には従わない場合がある。例えば、1000Wのプラズマにより処理された1つの試料は、わずか500Wにより処理された別の試料よりも漏洩が大きい場合がある。また、より高いプラズマ電力は、HfO2膜構造を破損する場合があり、それにより引いては漏洩電流が増加する。加えて、HfNは強誘電性ではないため、プラズマ窒化プロセスは、残留分極(Pr)を減少させる場合がある。
逆に、500Wでのプラズマ時間を延長すると、1000℃/1秒アニール後に漏洩電流が低減されるが、15秒間では、漏洩電流の軽減が十分ではない場合がある。例えば、HfO2は、典型的には60秒間のプラズマ後に過剰窒化され、漏洩電流は10-8Aと低い。しかしながら、プラズマ時間が60秒間よりも長い場合、HfO2の強誘電性特性は著しく劣化する場合がある(例えば、Pr=7μC/cm2)。
ここで図5を参照すると、HfO2の窒化および任意選択のドーピングは、金属、強磁性体、絶縁体、および半導体(MFIS)層を含む積層体にも使用することができる。基板200は、1つまたは複数の拡散領域214を含んでいてもよい半導体層210などの1つまたは複数の下部層を含む。絶縁体層220を、半導体層210上に堆積させる。一部の例では、絶縁体層220は、二酸化ケイ素(SiO2)または窒化シリコン(SiN)を含む。ドープまたは非ドープHfO2層224(上記に記載のような)を含む強磁性体層を、絶縁体層220上に堆積させる。ドープまたは非ドープHfO2層224を、選択されたプラズマ処理を使用して処理する。金属層228を、ドープまたは非ドープHfO2層224上に堆積させる。一部の例では、金属層228は、TiN、TaN、Ir、またはWを含む。金属層228を堆積させた後、500℃から1100℃までの範囲の温度で急速熱アニールを使用して基板をアニールする。
ここで図6を参照すると、図5の積層体において、HfO2を堆積させ、任意選択でドープし、および窒化するための方法250が示されている。252では、半導体基板を準備する。254では、絶縁体層を半導体基板上に堆積させる。一部の例では、絶縁体層は、二酸化ケイ素(SiO2)または窒化シリコン(SiN)を含む。256では、ドープまたは非ドープHfO2層を絶縁体層上に堆積させる。268では、窒素種を含むプラズマを使用してHfO2層を窒化する。272では、金属層をHfO2層上に堆積させる。一部の例では、金属層は、TiN、TaN、Ir、またはWを含む。274では、基板に対して、500℃から1100℃までの範囲の温度で急速熱アニールを実施する。一部の例では、金属層を、278にてパターン化し、282にてエッチングする。
一部の例では、絶縁体層、ドープまたは非ドープHfO2層、および窒化は、同じ処理チャンバーで、または異なる処理チャンバーを使用して実施される。絶縁体層、ドープもしくは非ドープHfO2層、および/または金属層は、上記に記載のプロセスのいずれかを使用して堆積させることができる。
ここで図7を参照すると、基板のプラズマ処理中に他のガス種を使用して、漏洩電流を低減することができる。より詳しくは、アンモニア(NH3)、分子酸素(O2)、アルゴン(Ar)、またはアルゴンおよび分子水素の混合物(Ar/H2)を含むガス種を使用することができる。図7では、方法330は、基板を準備することを含む。332では、底部電極層(TiN、TaN、Ir、またはWを含む)を基板上に堆積させる。336では、ドープまたは非ドープHfO2層を底部電極層上に堆積させる。338では、N2、NH3、O2、O3、Ar、および/またはAr/H2からなる群から選択されるプラズマガス種によるプラズマを使用して、HfO2層を処理する。340では、上部電極層(TiN、TaN、Ir、またはWを含む)を、窒化されたHfO2層上に堆積させる。342では、500℃から1100℃までの範囲の温度への急速熱アニールを使用して、基板を処理する。上部電極を、344にてパターン化し、346にてエッチングする。
ここで図8を参照すると、図5の積層体において、HfO2を堆積させ、任意選択でドーピングし、およびプラズマ処理をするための方法350が示されている。352では、半導体基板を準備する。354では、絶縁体層を、半導体基板上に堆積させる。一部の例では、絶縁体層は、二酸化ケイ素(SiO2)または窒化シリコン(SiN)を含む。356では、ドープまたは非ドープHfO2層を絶縁体層上に堆積させる。358では、N2、NH3、Ar、O2、および/またはAr/H2からなる群から選択されるプラズマガス種によるプラズマを使用して、HfO2層を処理する。360では、金属層をHfO2層上に堆積させる。一部の例では、金属層は、TiN、TaN、Ir、またはWを含む。362では、基板に対して、500℃から1100℃までの範囲の温度で急速熱アニールを実施する。一部の例では、金属層を、364にてパターン化し、366にてエッチングする。
一部の例では、絶縁体層、ドープまたは非ドープHfO2層、およびプラズマ処理は、同じ処理チャンバーで、または異なる処理チャンバーを使用して実施される。絶縁体層、ドープもしくは非ドープHfO2層、および/または金属層は、上記に記載のプロセスのいずれかを使用して堆積させることができる。
ここで図9を参照すると、その間にプラズマ処理が行われるT回のALDスーパーサイクルを使用して、ドープHfO2層を堆積させるための方法400が示されている。402では、N回のALD HfO2サイクルを実施し、ドーパント種のM回のALDサイクルを実施し、T、N、およびMは、0よりも大きな整数である。理解し得ることだが、N回のALD HfO2サイクルおよびドーパント種のM回のALDサイクルは、所与のスーパーサイクル中に任意の順序で実施することができる。404では、N2、NH3、Ar、O2、O3、および/またはAr/H2からなる群から選択されるプラズマガス種によるプラズマを使用して、HfO2層を処理する。406では、本方法は、追加のスーパーサイクルを実施する必要がある場合には402へと戻るか、またはT回のスーパーサイクルが完了した場合には終了する。
ここで図10を参照すると、本開示による、TCPプラズマ処理を実施するための基板処理システム510の一例が示されている。基板処理システム510は、コイル駆動回路511を含む。一部の例では、コイル駆動回路511は、RF発生源512および同調回路513を含む。同調回路513は、1つまたは複数の誘導コイル16に直接接続されていてもよい。あるいは、同調回路513は、任意選択の反転回路515により、コイル516の1つまたは複数に接続されていてもよい。同調回路513は、RF発生源512の出力を、所望の周波数および/または所望の位相に同調させ、コイル516のインピーダンスと一致させ、TCPコイル516間に電力を分割する。反転回路515は、TCPコイル516の1つまたは複数を流れる電流の極性を選択的に切り替えるために使用される。反転回路515の例は、Satoらによる「Systems And Methods For Reversing RF Current Polarity At One Output Of A Multiple Output RF Matching Network」という題名の2015年3月30日に出願された同一出願人による米国特許出願第14/673,174号明細書に図示および記載されている。
一部の例では、TCPコイル516と誘電体窓524との間には、誘電体窓の温度を高温および/または低温空気流動で制御するために、プレナム520が配置されていてもよい。誘電体窓524は、処理チャンバー528の1つの側面に沿って配置される。処理チャンバー528は、基板支持体(または台座)532をさらに含む。基板支持体532は、静電チャック(ESC)、または機械的チャック、または他のタイプのチャックを含んでいてもよい。プロセスガスを処理チャンバー528に供給し、処理チャンバー528内部でプラズマ540を発生させる。プラズマ540は、基板534の露出表面をエッチングする。RF発生源550およびバイアスマッチング回路552を使用して、作動中に基板支持体532にバイアスをかけ、イオンエネルギーを制御してもよい。
ガス搬送システム556を使用して、プロセスガス混合物を処理チャンバー528へと供給してもよい。ガス搬送システム556は、プロセスおよび不活性ガス供給源557、バルブおよびマスフローコントローラなどのガス計量システム558、ならびにマニフォールド559を含んでいてもよい。ガス搬送システム560を使用して、ガス562をバルブ561を介してプレナム520へと搬送してもよい。ガスは、TCPコイル516および誘電体窓524を冷却するために使用される冷却気体(空気)を含んでいてもよい。加熱器/冷却器564を使用して、基板支持体532を所定温度に加熱/冷却してもよい。排気システム565は、パージまたは排出により処理チャンバー528から反応物を除去するためのバルブ566およびポンプ567を含む。
コントローラ554を使用して、エッチングプロセスを制御してもよい。コントローラ554は、システムパラメータをモニターし、ガス混合物の搬送、プラズマのストライキング、維持、および消去、反応物の除去、ならびに冷却気体の供給などを制御する。加えて、下記で詳細に記載されるように、コントローラ554は、コイル駆動回路511、RF発生源550、およびバイアスマッチング回路552などの種々の態様を制御してもよい。
実施例
TCPチャンバーでのHfO2のプラズマ処理を、4.2mol%のSiドーピングで試験した。堆積させたままのHfO2は、1000℃/1秒のアニール後に10-7Aの漏洩電流を示した。N2プラズマによる処理は、同じ1000℃/1秒のアニールを使用しても漏洩電流を10-8Aへと1桁減少させた。NH3、Ar、およびAr/H2ガス種を使用した他のプラズマ処理も試験した。NH3およびAr/H2プラズマ処理は、1000℃/1秒のアニール後に漏洩電流を2分の1に減少させた。より低いアニール温度(例えば、800℃)では、プラズマ処理(N2、NH3、Ar、およびAr/H2)はすべて、プラズマ処理を行わない試料と比較して漏洩電流を改善させた。プラズマ窒化は、強誘電体HfO2の残留分極(Pr)をわずかに低下させた。しかしながら、Pr値(15〜17μC/cm2)は、目的仕様である15μC/cm2を依然として満たす。NH3およびAr/H2プラズマでも同じ結果が達成される。
また、より高いドーピング(例えば、HfO2中5.7mol%のSi)による試料を同じプラズマ処理で研究した。より高いドーピング濃度は、初期サイクルでのウェイクアップ効果のため最適ではない。N2プラズマは、5.7mol%のSiを有するHfO2での漏洩電流を改善したが、NH3、Ar、およびAr/H2プラズマは、漏洩電流を増加させた。ArおよびAr/H2プラズマで処理した試料は、わずか1000回のスイッチサイクルで故障する。
上部電極堆積前のHfO2のプラズマ処理は、HfO2表面の欠陥を軽減するが、バルクHfO2膜の欠陥は、別の漏洩電流の原因になる場合がある。したがって、本明細書に記載の方法の一部では、HfO2堆積のスーパーサイクル間にプラズマ処理を用いて、膜内の欠陥をさらに軽減する。例えば、8nmのHfO2後の単一回プラズマ処理ではなく、1、2、または4nm毎のHfO2堆積後に、基板をプラズマ処理に曝す。
2プラズマに加えて、Ar/H2およびNH3プラズマも、1000℃のアニール後、HfO2での漏洩電流を減少させる。N2プラズマは、漏洩電流改善に最も効果的な環境である。HfO2堆積およびプラズマ処理のスーパーサイクルは、強誘電体材料での漏洩電流をさらに減少させる能力を有する。他の例では、プラズマのタイプは、容量結合プラズマ(CCP)、下流もしくは遠隔プラズマ、またはマイクロ波プラズマに変えることができる。
基板の前処理および/またはHfO2層の処理
他の例では、HfO2のALDのサイクル前におよび/またはサイクル間にプラズマおよび/または熱処理プロセスにより基板を前処理することにより、漏洩がさらに低減され、デバイスのメモリウィンドウの幅が広がる。例えば、強誘電体電界効果トランジスター(FeFET)では、強誘電体HfO2を、Si基板上に形成される金属層(例えば、上部電極)と誘電体層(例えば、絶縁体/界面層)との間に配置して、MFIS膜積層構造を形成する。絶縁体層は、MFIS膜積層体の性能特性にとって重要である。強誘電体材料での電荷反転は、フラットバンド電圧をシフトさせ、C−V曲線でのヒステリシスを引き起こし、トランジスターの閾値電圧(Vth)をシフトさせる。絶縁体層および/または絶縁体層と強誘電体材料との界面における欠陥は、電荷注入を引き起こす場合があり、それによりフラットバンド電圧がシフトし、強誘電体材料のC−Vヒステリシスに対して反対方向にC−Vヒステリシスが引き起こされる(C−Vヒステリシスの打ち消しが引き起こされる)。したがって、強誘電体材料の性能を向上させるには、絶縁体層および/または絶縁体層と強誘電体材料との界面における欠陥を最小限に抑えることが望ましい。
下記に記載されるようなプラズマ処理および/または熱処理による基板の前処理は、下記により詳細に記載されるように、絶縁体層および/または絶縁体層と強誘電体材料との界面における欠陥を低減して、漏洩を低減させ、デバイスのメモリウィンドウの幅を広げる。前処理方法としては、熱処理、プラズマ処理、ならびに/または熱処理およびプラズマ処理の連続が挙げられる。処理のガス環境としては、N2、N2/H2、NH3、O2、および/またはO3が挙げられてもよい。基板は、ALD処理チャンバーで、またはALD処理チャンバーへと移送する前に別のチャンバーで前処理してもよい。一部の例では、絶縁体層の表面に対してHfO2(例えば、0.1〜2.0nmのHfO2)の1つまたは複数のALDサイクルを実施した後で、前処理プロセスを実施してもよい。他の例では、ALDを実施する前におよびALDの1つまたは複数のサイクルの後に、基板に対して前処理プロセスを実施してもよい。処理プロセスを実施する前の1つまたは複数のALDサイクルの堆積条件は、その後のALDサイクルの堆積条件と異なっていてもよい。例えば、処理プロセスを実施する前の1つまたは複数のALDサイクルのオゾンドーズ時間は、その後のサイクルのオゾンドーズ時間よりも長くてもよい。
ここで図11A、11B、11C、11D、11E、および11Fを参照すると、(HfO2)に基づく強誘電体材料をデバイス600に形成するための例示的プロセスが示されている。図11Aでは、デバイス600は、基板(例えば、1つまたは複数の下部層)604、および下部層604上に配置されている界面/絶縁体層608(以下、絶縁体層と呼ぶ)を含む。例えば、下部層604は、ケイ素(Si)を含む。一部の例では、絶縁体層608は、二酸化ケイ素(SiO2)またはケイ素オキシ窒化物(SiON)誘電体を含む。一部の例では、絶縁体層608は、原子層堆積法(ALD)、化学蒸着法(CVD)、または物理蒸着法(PVD)を使用して堆積される。他の例では、絶縁体層608は、Siの熱酸化により形成してもよい。例えば、SiON、SiO2のプラズマ窒化などを形成するために、窒素種(例えば、N2OまたはN2)を有する酸素環境下でSiの熱酸化をさせることにより絶縁体層608を形成してもよい。絶縁体層608は、その後のステップを実施するために使用されるチャンバーとは異なる処理チャンバーで堆積させてもよい。
図11Bに示されているように、絶縁体層608の前処理を実施する。前処理は、絶縁体層608の堆積と同じまたは異なる処理チャンバーで実施してもよい。前処理は、熱処理、プラズマ処理、ならびに/または熱処理およびプラズマ処理の連続(例えば、熱処理ステップを行った後にプラズマ処理ステップを行う)を含んでいてもよい。前処理は、絶縁体層608の表面から欠陥(例えば、未結合炭化水素夾雑物)を除去する。例えば、空気への曝露は、絶縁体層608の表面上への炭化水素の吸収を引き起こす場合がある。前処理は、炭化水素夾雑物と処理チャンバー内のガスとの結合を促進する。その後、接合した炭化水素を処理チャンバーから除去(例えば、パージ)してもよい。
熱処理は、プロセスガスを処理チャンバー内へと流しつつ、基板の温度を上昇させる(例えば、温度コントローラ142を使用して)ことを含んでいてもよい。例えば、基板を、1分間から最大で30分間、200から600℃までの温度に上昇させてもよい。一部の例では、基板を、300から400℃までの温度に上昇させる。プロセスガスとしては、N2、N2/H2、NH3、O2、および/またはO3が挙げられてもよい。温度が高いと、炭化水素夾雑物とプロセスガスとの結合が促進される。
プラズマ処理は、プロセスガス(N2、N2/H2、NH3、O2、O3など)を流すこと、および処理チャンバー内でプラズマをストライキングさせることを含んでいてもよい。プラズマ処理は、基板の温度を上昇させて実施してもよいが、熱処理よりも著しく低い温度で(例えば50℃で)実施してもよい。したがって、プラズマ処理は、より高い熱処理温度を使用せずに、炭化水素夾雑物とプロセスガスとの結合を促進する。プラズマ処理は、1分間から最大で30分間実施してもよい。
図11Cに示されているように、HfO2層612を絶縁体層608上に堆積させ、上部電極616をHfO2層612上に堆積させる。一部の例では、堆積されたHfO2層612は、2nmから12nmまでの範囲の厚さを有する。一部の例では、HfO2層612を、ケイ素(Si)、アルミニウム(Al)、イットリウム(Yt)、ジルコニウム(Zr)、および/またはランタン(La)からなる群から選択されるドーパント種を使用してドープする。一部の例では、HfO2層612を、原子層堆積法(ALD)を使用して堆積させるが、他のプロセスを使用することができる。例えば、熱ALDまたはプラズマALDを使用することができる。一部の例では、HfO2層612をドープしない。他の例では、選択されたドーパント種の0mol%超から60mol%以下までの所定のドーピングレベルでHfO2層612をドープする。一部の例では、選択されたドーパント種の3mol%から5mol%までの所定のドーピングレベルでHfO2層612をドープする。HfO2層612は、非晶質であってもよい。
任意選択でHfO2層612のプラズマ処理を実施してもよい。例えば、窒素ガス種を含むプラズマによりHfO2層612を窒化する。例えば、分子窒素(N2)ガスを使用してもよい。一部の例では、15秒から60秒までの範囲の所定期間中に窒化を実施する。一部の例では、RF電力は、100Wから15kWまでの範囲であってもよい。一部の例では、プラズマ電力は、500Wから1200Wまでの範囲である。一部の例では、RF周波数は、1MHzから15MHzまでの範囲であってもよい。一部の例では、RF周波数は、2.0MHzおよび/または13.56MHzである。
上部電極616を、HfO2層612上に堆積させる。一部の例では、上部電極616は、TiN、TaN、Ir、またはWを含むが、他の電極材料を使用することができる(例えば、Pt、Au、Pd、Al、Mo、Ni、Tiなど)。一部の例では、上部電極616は、原子層堆積法(ALD)、化学蒸着法(CVD)、または物理蒸着法(PVD)を使用して堆積される。上部電極616を堆積させた後、デバイス600を、500℃から1100℃までの範囲の所定温度でアニールする。他の例では、アニール温度は、800℃から1000℃までの範囲である。
アニールした後、上部電極616を、図11D、11E、および11Fに示されているように、パターン化する。例えば、図11Dに示されているように、マスク620を堆積させてもよい。マスク620は、白金(Pt)を含んでいてもよい。上部電極616を、図11Eに示されているように、ウェットエッチングまたはドライエッチングを使用してエッチングする。一部の例では、マスク620を、任意選択で、図11Fに示されているようにエッチング後に除去する。他の例では、マスクを除去しない。
ここで図12A、12B、12C、12D、12E、および12Fを参照すると、(HfO2)に基づく強誘電体材料をデバイス700に形成するための別の例示的プロセスが示されている。図12Aでは、デバイス700は、基板(例えば、1つまたは複数の下部層)704、および下部層704上に配置されている界面/絶縁体層708(以下、絶縁体層と呼ばれる)を含む。例えば、下部層704は、ケイ素(Si)を含む。一部の例では、絶縁体層708は、二酸化ケイ素(SiO2)またはケイ素オキシ窒化物(SiON)誘電体を含む。一部の例では、絶縁体層708は、原子層堆積法(ALD)、化学蒸着法(CVD)、または物理蒸着法(PVD)を使用して堆積される。別の例では、絶縁体層708は、Siの熱酸化により形成してもよい。例えば、SiON、SiO2のプラズマ窒化などを形成するために、窒素種(例えば、N2OまたはN2)を有する酸素環境下でSiを熱酸化させることにより絶縁体層708を形成してもよい。絶縁体層708は、その後のステップを実施するために使用されるチャンバーとは異なる処理チャンバーで堆積させてもよい。
図12Bに示されているように、絶縁体層708の任意選択の前処理を実施する。前処理は、絶縁体層708の堆積と同じまたは異なる処理チャンバーで実施してもよい。前処理は、熱処理、プラズマ処理、ならびに/または熱処理およびプラズマ処理の連続(例えば、熱処理ステップを行った後にプラズマ処理ステップを行う)を含んでいてもよい。前処理は、図11Bに上述されているように、絶縁体層708の表面から欠陥(例えば、未結合炭化水素夾雑物)を除去する。
図12Cに示されているように、1つまたは複数のALDサイクルを実施して、HfO2の1つまたは複数の薄層710(例えば、0.1〜2.0nmのHfO2)を絶縁体層708上に堆積させる。例えば、こうした初期ALDサイクルは、180〜300℃の温度および0.1〜2.0Torrの圧力にて、10〜60秒のオゾンドーズ時間、1〜5秒の前駆体ドーズ時間、および30〜75秒のパージ時間(つまり、前駆体およびオゾンをパージするための)で実施してもよい。一部の例では、オゾンドーズ時間は、図12Eのオゾンドーズ時間よりも長い。例えば、図12Cのオゾンドーズ時間は45〜60秒であり、図12Eのオゾンドーズ時間は10〜45秒である。初期ALDサイクルのオゾンドーズ時間を長くすることにより、絶縁体層708の界面およびHfO2の薄層710における酸素空格子点を最小限に抑えてもよい。
図12Dに示されているように、HfO2層の堆積層710の処理を実施する。処理は、図11Bに上述されているように、熱処理、プラズマ処理、ならびに/または熱処理およびプラズマ処理の連続(例えば、熱処理ステップを行った後にプラズマ処理ステップを行う)を含んでいてもよい。
図12Eに示されているように、HfO2の残りの層を層710上に堆積させてHfO2層712を形成し、上部電極716をHfO2層712上に堆積させる。一部の例では、堆積させたHfO2層712は、2nmから12nmまでの範囲の厚さを有する。一部の例では、HfO2層712を、ケイ素(Si)、アルミニウム(Al)、イットリウム(Yt)、ジルコニウム(Zr)、および/またはランタン(La)からなる群から選択されるドーパント種を使用してドープする。一部の例では、HfO2層712は、原子層堆積法(ALD)を使用して堆積させるが、他のプロセスを使用することができる。例えば、熱ALDまたはプラズマALDを使用することができる。一部の例では、HfO2層612をドープしない。他の例では、選択されたドーパント種の0mol%超から60mol%以下までの所定のドーピングレベルでHfO2層712をドープする。一部の例では、選択されたドーパント種の3mol%から5mol%までの所定のドーピングレベルでHfO2層712をドープする。HfO2層712は、非晶質であってもよい。
任意選択で、完成したHfO2層712の追加プラズマ処理を実施してもよい。例えば、HfO2層712を、窒素ガス種を含むプラズマにより窒化する。例えば、分子窒素(N2)ガスを使用してもよい。一部の例では、15秒から60秒までの範囲の所定期間中に窒化を実施する。一部の例では、RF電力は、100Wから15kWまでの範囲であってもよい。一部の例では、プラズマ電力は、500Wから1200Wまでの範囲である。一部の例では、RF周波数は、1MHzから15MHzまでの範囲であってもよい。一部の例では、RF周波数は、2.0MHzおよび/または13.56MHzである。
上部電極716を、HfO2層712上に堆積させる。一部の例では、上部電極716は、TiN、TaN、Ir、またはWを含むが、他の電極材料を使用することができる(例えば、Pt、Au、Pd、Al、Mo、Ni、Tiなど)。一部の例では、上部電極716は、原子層堆積法(ALD)、化学蒸着法(CVD)、または物理蒸着法(PVD)を使用して堆積させる。上部電極716を堆積させた後、デバイス700を、500℃から1100℃までの範囲の所定温度でアニールする。他の例では、アニール温度は、800℃から1000℃までの範囲である。
アニールした後、上部電極716を、図12Fに示されているように、パターン化する。例えば、図11D、11E、および11Fに記載されている様式と同様の様式で、マスクを堆積させ、上部電極716をエッチングし、エッチングした後でマスクを除去する。
ここで図13を参照すると、本開示による、絶縁体層を前処理するためのおよび/または1つもしくは複数のHfO2層を処理するための方法800の一例は、804から始まる。808では、基板を準備する。例えば、1つまたは複数の下部層および絶縁体層を含む基板を、処理チャンバーの基板支持体上に配置する。絶縁体層は、二酸化ケイ素(SiO2)またはケイ素オキシ窒化物(SiON)を含んでいてもよい。例えば、界面層は、同じ処理チャンバーまたは異なる処理チャンバー内で原子層堆積法(ALD)、化学蒸着法(CVD)、または物理蒸着法(PVD)を使用して堆積させてもよい。
812では、絶縁体層の任意選択の前処理を実施する。例えば、前処理は、図11Bに上述されているように、熱処理および/またはプラズマ処理を含んでいてもよい。HfO2の堆積層の任意選択の処理が実施される例では、方法800は、816および820へと続く。そうでなければ、方法800は、824へと続く。816では、ALDの1つまたは複数のサイクルを実施して、図12Cに上述されているようにHfO2の薄層を堆積させる。820では、HfO2の堆積層の処理を実施する。例えば、HfO2の堆積層の処理は、図12Dに上述されているように、熱処理および/またはプラズマ処理を含んでいてもよい。したがって、812、816、および820では、方法800は、絶縁体層の前処理および/またはHfO2の堆積薄層の処理を実施する。言い換えれば、方法800は、絶縁体層の前処理のみ、HfO2の堆積薄層の処理のみ、または絶縁体層の前処理およびHfO2の堆積薄層の処理の両方を実施してもよい。
824では、ドープまたは非ドープHfO2層を、絶縁体層(例えば、ALDを使用して)上に、または816および820にて以前に絶縁体層上に堆積させたHfO2の薄層上に堆積させる。828では、任意選択でHfO2層のプラズマ処理を実施してもよい。例えば、HfO2層を、窒素ガス種を含むプラズマにより窒化してもよい。832では、上部電極(例えば、TiN、TaN、Ir、またはW)を、HfO2層上に堆積させる。例えば、上部電極は、原子層堆積法(ALD)、化学蒸着法(CVD)、または物理蒸着法(PVD)を使用して堆積される。836では、基板、絶縁体層、HfO2層、および上部電極を、500℃から1100℃まで(例えば、800℃から1000℃まで)の範囲の所定温度でアニールして、強誘電体HfO2を形成する。上部電極を、840にてパターン化し(例えば、上部電極上のマスクをパターン化してもよい)、844にてエッチングしてもよい。方法800は848で終了する。
実施例
1つの例では、SiO2絶縁体層を、ALD温度(例えば200℃)のALD処理チャンバー内でオゾンを用いて前処理した(つまり、一切のHfO2 ALDサイクルを実施する前に)。この例では、漏洩電流はわずかに低減された。対照的に、5〜9回のサイクルHfO2 ALD(例えば0.5〜0.9nm)後にオゾンによる処理を実施した例では、漏洩電流は、絶縁体層を前処理した試料と比べてより多量に低減された。漏洩電流の低減は、膜積層体の欠陥がより少ないことを示し、これは、MFISスイッチングにおけるC−Vヒステリシスが向上したことを示唆する。
別の例では、HfO2の初期薄層(例えば、2nm)を堆積させるための条件を変化させて、欠陥を減少させてもよい。例えば、初期ALDサイクル中のO3ドーズ時間(例えば、最初の2nmのための)は、処理後に実施されるALDサイクルのO3ドーズ時間より長くてもよい。したがって、強誘電体スイッチングにおける漏洩特徴が抑制される。処理前および処理後のALDサイクルのO3ドーズ時間が同じである例では、FEヒステリシスは、P−E曲線でのFEスイッチングにも関わらず、C−V曲線では観察されなかった。C−Vヒステリシスが存在しないのは、絶縁体/強誘電体界面での欠陥密度が高いことに起因する可能性がある。電荷注入は、FEスイッチングの効果を打ち消す。対照的に、処理前の最初の2nmのHfO2でのO3適用がより長い例では、C−V曲線にて0.2Vのメモリウィンドウが観察された。最初の2nmのO3ドーズ時間を延長すると、界面での欠陥密度が減少し、したがって電荷注射が抑制される。メモリウィンドウが、少しではあるがC−V曲線に生じ、強誘電体スイッチングを示す。
別の例では、HfO2 ALDを実施する前に、基板に対して成膜ガスアニール(FGA)ステップを実施した。ALDの前に300℃で実施したFGAは、漏洩のさらなる改善を示さなかった。しかしながら、メモリウィンドウは、FGAを行わなかった試料での〜0.3Vから、ALD前にFGAを実施した試料での〜0.55Vへと増加した。したがって、本明細書に記載の前処理および処理方法とFGAとの組合せは、メモリウィンドウをさらに増加させてもよい(例えば、1.0Vへと)。
こうした記載の例では、試料は、4.2mol%のSiを有する8nmのHfO2層を含んでいた。HfO2の厚さは、2から12nmまで様々であってもよい。HfO2層は、ドープされなくともよく、またはAl、Y、Gd、Sr、La、およびZrなどのドーパントを含有してもよい。ドーパント濃度は、Siの場合0〜6mol%と様々であり、他のドーパントは、0〜60mol%のより広い範囲を有していてもよい。強誘電体HfO2は、600〜1000℃のN2下で金属キャップ(例えば、TiN)を用いてアニールすることにより形成される。
先述の説明は、性質が例示であるに過ぎず、いかなる点でも本開示、その出願、または使用を限定することは意図されていない。本開示の幅広い教示は、様々な形態で実施することができる。したがって、本開示は具体的な例を含むが、本図面、本明細書、および以下の特許請求の範囲を研究すれば他の改変が明らかになるため、本開示の真の範囲はそのように限定されるべきではない。方法内の1つまたは複数のステップは、本開示の原理を変更しない限り、異なる順序で(または同時に)実行してもよいことが理解されるべきである。さらに、実施形態の各々は、ある特徴を有すると上記に記載されているが、本開示の任意の実施形態に関して記載されているそうした特徴の任意の1つまたは複数は、その組合せが明示的に記載されていない場合であっても、他の実施形態のいずれかの特徴におよび/またはいずれかの特徴と組み合わせて実施することができる。言い換えれば、記載されている実施形態は互いに排他的ではなく、1つまたは複数の実施形態と1つの別のものとの交換は、依然として本開示の範囲内にある。
要素間(例えば、モジュール、回路素子、半導体層間など)の空間的および機能的関係性は、「接続されている」、「係合されている」、「繋がっている」、「隣接する」、「〜の隣に」、「〜の上部に」、「上に」、「下に」、および「設置されている」を含む、種々の用語を使用して記載される。第1および第2の要素間の関係性が上記の開示に記載されている場合、「直接」であると明示的に記載されていない限り、その関係性は、第1および第2の要素間に他の介在要素が存在しない直接的関係性であってもよいが、第1および第2の要素間に1つまたは複数の介在要素が存在する(空間にまたは機能的のいずれでもよい)間接的関係性であってもよい。本明細書で使用される場合、語句「A、B、およびCの少なくとも1つ」は、非排他的論理ORを使用して、論理(A OR B OR C)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、およびCの少なくとも1つ」を意味すると解釈されるべきではない。
一部の実施では、コントローラは、上述の例の一部であってもよいシステムの一部である。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバー、1つまたは複数の処理用プラットフォーム、および/または特定の処理用構成要素(ウエハ台座、ガス流動システムなど)を含む半導体処理装置を含んでいてもよい。こうしたシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後にそれらの作動を制御するための電子機器と統合されていてもよい。電子機器は、1つまたは複数のシステムの種々の構成要素または下位部品を制御してもよい「コントローラ」と呼ばれる場合がある。コントローラは、処理要件および/またはシステムのタイプに応じて、プロセスガスの搬送、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RFマッチング回路設定、周波数設定、流速設定、流体搬送設定、位置および作動設定、ツールへのおよびツールからのウエハ移送および他の移送ツール、ならびに/または特定のシステムに接続されているかもしくはインターフェースされているロードロックを含む、本明細書に開示されているプロセスのいずれかを制御するようにプログラムされてもよい。
おおまかに言えば、コントローラは、命令を受け取る、命令を発する、作動を制御する、洗浄作動を可能にする、およびエンドポイント測定を可能にするなどの種々の集積回路、論理、メモリ、および/またはソフトウェアを有する電子機器であると定義してもよい。集積回路は、プログラム命令を格納するファームウエアの形態のチップ、デジタルシグナルプロセッサー(DSP)、特定用途向け集積回路(ASIC)であると定義されるチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つもしくは複数のマイクロプロセッサーもしくはマイクロコントローラを含んでいてもよい。プログラム命令は、半導体ウエハに対するもしくは関するまたはシステムに対する特定のプロセスを実施するための作動パラメータを定義する種々の個々の設定の形態(またはプログラムファイル)でコントローラと通信する命令であってもよい。作動パラメータは、一部の実施形態では、1つまたは複数の層、材料、金属、酸化物、ケイ素、二酸化ケイ素、表面、回路、および/またはウエハのダイスの製作中に、1つまたは複数の処理ステップを遂行するように工程技師により定義されるレシピの一部であってもよい。
コントローラは、一部の実施では、システムに統合されているか、システムと繋がっているか、そうでなければシステムとネットワークされているか、またはそれらの組合せであるコンピュータの一部であってもよくまたは繋がっていてもよい。例えば、コントローラは、ウエハ処理の遠隔アクセスを可能にすることができる「クラウド」にあってもよく、またはファブホストコンピュータシステムのすべてまたは一部であってもよい。コンピュータは、製作作動の現在の進行をモニターするために、過去の製作作動の履歴を検討するために、複数の製造作動のトレンドもしくはパフォーマンスメトリックを検討するために、現在の処理のパラメータを変化させるために、現在の処理後の処理ステップを設定するために、または新しいプロセスを開始させるために、システムに対して遠隔アクセスが可能であってもよい。一部の例では、遠隔コンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含んでいてもよいネットワークを介してプロセスレシピをシステムに提供することができる。遠隔コンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインタフェースを含んでいてもよく、パラメータおよび/または設定は、その後遠隔コンピュータからシステムへと通信される。一部の例では、コントローラは、1つまたは複数の作動中に実施される処理ステップの各々のパラメータを指定する命令をデータの形態で受け取る。パラメータは、実施しようとするプロセスのタイプ、およびコントローラがインターフェースを形成するようにまたは制御するように構成されているツールのタイプの専用であってもよいことが理解されるべきである。したがって、上記に記載されているように、コントローラは、互いにネットワークされており、本明細書に記載のプロセスまたは制御などの共通目的のために作動する1つまたは複数の個別のコントローラを含むことなどにより、分散されていてもよい。そのような目的のための分散コントローラの一例は、チャンバーでのプロセスを制御するために結合されており遠隔に位置する(プラットフォームレベルでのまたは遠隔コンピュータの一部としてなどの)1つまたは複数の集積回路と通信するチャンバーにある1つまたは複数の集積回路であるだろう。
限定ではないが、例示的システムとしては、以下のものが挙げられてもよい:プラズマエッチチャンバーまたはモジュール、堆積チャンバーまたはモジュール、スピンリンスチャンバーまたはモジュール、金属めっきチャンバーまたはモジュール、洗浄チャンバーまたはモジュール、ベベルエッジエッチングチャンバーまたはモジュール、物理蒸着(PVD)チャンバーまたはモジュール、化学蒸着(CVD)チャンバーまたはモジュール、原子層堆積(ALD)チャンバーまたはモジュール、原子層エッチング(ALE)チャンバーまたはモジュール、イオン打ち込みチャンバーまたはモジュール、トラックチャンバーまたはモジュール、ならびに半導体ウエハの製作および/または製造に関連してもよくまたは使用されてもよい任意の他の半導体処理システム。
上記に注記されているように、ツールにより実施しようとする1つまたは複数のプロセスステップに応じて、コントローラは、他のツール回路またはモジュール、他のツール部品、クラスターツール、他のツールインターフェース、隣接するツール、近隣のツール、工場全体にわたって位置するツール、メインコンピュータ、別のコントローラ、またはウエハの容器をツール位置および/または半導体製造工場の積卸し所へとまたはから運搬する材料輸送に使用されるツールの1つまたは複数と通信してもよい。
基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を形成するための方法は、基板を基板処理システムの処理チャンバー内に配置すること、基板上にHfO2層を堆積させること、HfO2層のプラズマ処理を実施すること、およびHfO2層をアニールして、強誘電体酸化ハフニウムHfO2 を形成することを含む。
他の特徴では、HfO2層は、原子層堆積法(ALD)を使用して堆積される。本方法は、HfO2層をドープすることをさらに含む。HfO2層のドーピングは、ケイ素、アルミニウム、イットリア、ランタン、およびジルコニウムの少なくとも1つでHfO2層をドープすることを含む。HfO2層のドーピングは、0〜60mol%のドーパント種でHfO2層をドープすることを含む。HfO2層の堆積は、基板上にHfO2を堆積させるサイクルおよび堆積させたHfO2をドープするサイクルを交互に行うことを含む。HfO2層の厚さは、6〜12nmである。本方法は、さらにHfO2層の堆積およびHfO2層のプラズマ処理実施のサイクルを交互に行うことを含む
基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を含む基板を処理するための方法は、絶縁体層を含む基板を基板処理システムの処理チャンバー内に配置すること、絶縁体層の熱処理およびプラズマ処理の少なくとも1つを実施すること、絶縁体層上にHfO2層を堆積させること、およびHfO2層をアニールして、強誘電体酸化ハフニウムHfO2 を形成することを含む。
基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を含む基板を処理するための方法は、絶縁体層を含む基板を基板処理システムの処理チャンバー内に配置すること、少なくとも1つの第1のHfO2層を絶縁体層上に堆積させること、少なくとも1つの第1のHfO2層の熱処理およびプラズマ処理の少なくとも1つを実施すること、少なくとも1つの第2のHfO2層を少なくとも1つの第1のHfO2層上に堆積させること、ならびに少なくとも1つの第2のHfO2層および少なくとも1つの第1のHfO2層をアニールして、強誘電体ハフニウム酸化(HfO2 層を形成することを含む。
基板処理システム100は、基板処理システム100の他の構成要素を取り囲み、RFプラズマを含有する処理チャンバー102を含む。基板処理システム100は、上方電極104および静電チャック(ESC)106などの基板支持体を含む。作動中、基板108はESC106上に配置される。
温度コントローラ142が、加熱プレート112に配置された複数の熱制御要素(TCE)144に接続されていてもよい。例えば、TCE144としては、これらに限定されないが、多区画加熱プレートの各区画に対応するそれぞれのマイクロTCEおよび/または多区画加熱プレートの複数の区画にわたって設置されているマイクロTCEのアレイが挙げられてもよい。温度コントローラ142を使用して、複数のTCE144を制御し、ESC106および基板108の温度を制御してもよい。
ここで図7を参照すると、基板のプラズマ処理中に他のガス種を使用して、漏洩電流を低減することができる。より詳しくは、アンモニア(NH3)、分子酸素(O2)、アルゴン(Ar)、またはアルゴンおよび分子水素の混合物(Ar/H2)を含むガス種を使用することができる。図7では、方法330は、基板を準備することを含む。33では、底部電極層(TiN、TaN、Ir、またはWを含む)を基板上に堆積させる。336では、ドープまたは非ドープHfO2層を底部電極層上に堆積させる。338では、N2、NH3、O2、O3、Ar、および/またはAr/H2からなる群から選択されるプラズマガス種によるプラズマを使用して、HfO2層を処理する。340では、上部電極層(TiN、TaN、Ir、またはWを含む)を、窒化されたHfO2層上に堆積させる。342では、500℃から1100℃までの範囲の温度への急速熱アニールを使用して、基板を処理する。上部電極を、344にてパターン化し、346にてエッチングする。
ここで図8を参照すると、図5の積層体において、HfO2を堆積させ、任意選択でドーピングし、およびプラズマ処理をするための方法350が示されている。352では、半導体基板を準備する。354では、絶縁体層を、半導体基板上に堆積させる。一部の例では、絶縁体層は、二酸化ケイ素(SiO2)または窒化シリコン(SiN)を含む。356では、ドープまたは非ドープHfO2層を絶縁体層上に堆積させる。358では、N2、NH3、Ar、 3 2、および/またはAr/H2からなる群から選択されるプラズマガス種によるプラズマを使用して、HfO2層を処理する。360では、金属層をHfO2層上に堆積させる。一部の例では、金属層は、TiN、TaN、Ir、またはWを含む。362では、基板に対して、500℃から1100℃までの範囲の温度で急速熱アニールを実施する。一部の例では、金属層を、364にてパターン化し、366にてエッチングする。
ここで図10を参照すると、本開示による、TCPプラズマ処理を実施するための基板処理システム510の一例が示されている。基板処理システム510は、コイル駆動回路511を含む。一部の例では、コイル駆動回路511は、RF発生源512および同調回路513を含む。同調回路513は、1つまたは複数の誘導コイル16に直接接続されていてもよい。あるいは、同調回路513は、任意選択の反転回路515により、コイル516の1つまたは複数に接続されていてもよい。同調回路513は、RF発生源512の出力を、所望の周波数および/または所望の位相に同調させ、コイル516のインピーダンスと一致させ、TCPコイル516間に電力を分割する。反転回路515は、TCPコイル516の1つまたは複数を流れる電流の極性を選択的に切り替えるために使用される。反転回路515の例は、Satoらによる「Systems And Methods For Reversing RF Current Polarity At One Output Of A Multiple Output RF Matching Network」という題名の2015年3月30日に出願された同一出願人による米国特許出願第14/673,174号明細書に図示および記載されている。
図12Eに示されているように、HfO2の残りの層を層710上に堆積させてHfO2層712を形成し、上部電極716をHfO2層712上に堆積させる。一部の例では、堆積させたHfO2層712は、2nmから12nmまでの範囲の厚さを有する。一部の例では、HfO2層712を、ケイ素(Si)、アルミニウム(Al)、イットリウム(Yt)、ジルコニウム(Zr)、および/またはランタン(La)からなる群から選択されるドーパント種を使用してドープする。一部の例では、HfO2層712は、原子層堆積法(ALD)を使用して堆積させるが、他のプロセスを使用することができる。例えば、熱ALDまたはプラズマALDを使用することができる。一部の例では、HfO212をドープしない。他の例では、選択されたドーパント種の0mol%超から60mol%以下までの所定のドーピングレベルでHfO2層712をドープする。一部の例では、選択されたドーパント種の3mol%から5mol%までの所定のドーピングレベルでHfO2層712をドープする。HfO2層712は、非晶質であってもよい。
上記に注記されているように、ツールにより実施しようとする1つまたは複数のプロセスステップに応じて、コントローラは、他のツール回路またはモジュール、他のツール部品、クラスターツール、他のツールインターフェース、隣接するツール、近隣のツール、工場全体にわたって位置するツール、メインコンピュータ、別のコントローラ、またはウエハの容器をツール位置および/または半導体製造工場の積卸し所へとまたはから運搬する材料輸送に使用されるツールの1つまたは複数と通信してもよい。本開示は以下の適用例としても実現できる。
[適用例1]
基板処理システムにおいて強誘電体酸化ハフニウム(HfO 2 )を形成するための方法であって、
基板を、前記基板処理システムの処理チャンバー内に配置すること、
前記基板上にHfO 2 層を堆積させること、
前記HfO 2 層のプラズマ処理を実施すること、および
前記HfO 2 層をアニールして、強誘電体ハフニウムHfO 2 を形成すること
を含む方法。
[適用例2]
適用例1に記載の方法であって、前記HfO 2 層は、原子層堆積法(ALD)を使用して堆積される方法。
[適用例3]
適用例1に記載の方法であって、前記HfO 2 層をドープすることをさらに含む方法。
[適用例4]
適用例3に記載の方法であって、前記HfO 2 層をドープすることは、ケイ素、アルミニウム、イットリア、ランタン、およびジルコニウムの少なくとも1つで前記HfO 2 層をドープすることを含む方法。
[適用例5]
適用例3に記載の方法であって、前記HfO 2 層をドープすることは、0〜5mol%のドーパント種で前記HfO 2 層をドープすることを含む方法。
[適用例6]
適用例1に記載の方法であって、前記HfO 2 層を堆積させることは、HfO 2 を前記基板上に堆積させるサイクルおよび前記堆積されたHfO 2 をドープするサイクルを交互に行うことを含む方法。
[適用例7]
適用例1に記載の方法であって、前記HfO 2 層の厚さは、6〜12nmである方法。
[適用例8]
適用例1に記載の方法であって、前記HfO 2 層を堆積させるサイクルおよび前記HfO 2 層のプラズマ処理実施のサイクルを交互に行うことをさらに含む方法。
[適用例9]
適用例1に記載の方法であって、前記プラズマ処理を実施することは、少なくとも1つのプラズマガス種を使用して前記プラズマ処理を実施することを含み、前記少なくとも1つのプラズマガス種は、分子窒素(N 2 )、アンモニア(NH 3 )、分子酸素(O 2 )、オゾン(O 3 )、アルゴン(Ar)、ならびにアルゴンおよび分子水素(Ar/H 2 )の少なくとも1つを含む方法。
[適用例10]
適用例1に記載の方法であって、前記プラズマ処理を実施することは、分子窒素(N 2 )で前記プラズマ処理を実施することを含み、N 2 で前記プラズマ処理を実施することは、前記HfO 2 層の表面にHfO x y の形成を引き起こす方法。
[適用例11]
適用例1に記載の方法であって、前記プラズマ処理を実施することは、前記プラズマ処理を15〜60秒間実施することを含む方法。
[適用例12]
適用例1に記載の方法であって、前記プラズマ処理を実施することは、500〜1200ワットの高周波(RF)電力で前記プラズマ処理を実施することを含む方法。
[適用例13]
適用例12に記載の方法であって、前記RF電力は、1〜15MHzで提供される方法。
[適用例14]
適用例1に記載の方法であって、前記HfO 2 層をアニールすることは、500〜1100℃の温度で前記HfO 2 層をアニールすることを含む方法。
[適用例15]
適用例1に記載の方法であって、前記HfO 2 層をアニールすることは、800〜1000℃の温度で前記HfO 2 層をアニールすることを含む方法。
[適用例16]
適用例1に記載の方法であって、前記アニールの前に、上部電極を前記HfO 2 層上に堆積させることをさらに含む方法。
[適用例17]
適用例16に記載の方法であって、前記上部電極は、窒化タンタル、窒化チタン、およびタングステンの少なくとも1つを含む方法。
[適用例18]
適用例1に記載の方法であって、前記基板上への前記HfO 2 層を堆積させることは、前記基板上に形成されている下部層および底部電極の1つに前記HfO 2 層を堆積させることを含む方法。
[適用例19]
基板処理システムにおいて強誘電体酸化ハフニウム(HfO 2 )を含む基板を処理するための方法であって、
絶縁体層を含む基板を、前記基板処理システムの処理チャンバー内に配置すること、
前記絶縁体層の熱処理およびプラズマ処理の少なくとも1つを実施すること、
前記絶縁体層上にHfO 2 層を堆積させること、および
前記HfO 2 層をアニールして、強誘電体ハフニウムHfO 2 を形成すること、
を含む方法。
[適用例20]
適用例19に記載の方法であって、前記絶縁体層は、二酸化ケイ素(SiO 2 )およびケイ素オキシ窒化物(SiON)の1つを含む方法。
[適用例21]
適用例19に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記熱処理および前記プラズマ処理を連続して実施することを含む方法。
[適用例22]
適用例19に記載の方法であって、前記熱処理および前記プラズマ処理を少なくとも1つ実施することは、前記基板の温度を1〜30分間にわたって200〜600℃に上昇させることを含む方法。
[適用例23]
適用例19に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記処理チャンバーに、N 2 、N 2 /H 2 、NH 3 、O 2 、およびO 3 の少なくとも1つを提供することを含む方法。
[適用例24]
適用例19に記載の方法であって、前記HfO 2 層のプラズマ処理を実施することをさらに含む方法。
[適用例25]
適用例19に記載の方法であって、前記HfO 2 層は、原子層堆積法(ALD)を使用して堆積される方法。
[適用例26]
適用例19に記載の方法であって、前記HfO 2 層をドープすることをさらに含む方法。
[適用例27]
基板処理システムにおいて強誘電体酸化ハフニウム(HfO 2 )を含む基板を処理するための方法であって、
絶縁体層を含む基板を、前記基板処理システムの処理チャンバー内に配置すること、
前記絶縁体層上に少なくとも1つの第1のHfO 2 層を堆積させること、
前記少なくとも1つの第1のHfO 2 層の熱処理およびプラズマ処理の少なくとも1つを実施すること、
前記少なくとも1つの第1のHfO 2 層上に少なくとも1つの第2のHfO 2 層を堆積させること、および
前記少なくとも1つの第2のHfO 2 層および前記少なくとも1つの第1のHfO 2 層をアニールして、強誘電体ハフニウムHfO 2 層を形成すること
を含む方法。
[適用例28]
適用例27に記載の方法であって、前記絶縁体層は、二酸化ケイ素(SiO 2 )およびケイ素オキシ窒化物(SiON)の1つを含む方法。
[適用例29]
適用例27に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記熱処理および前記プラズマ処理を連続して実施することを含む方法。
[適用例30]
適用例27に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記基板の温度を1〜30分間にわたって200〜600℃に上昇させることを含む方法。
[適用例31]
適用例27に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記処理チャンバーに、N 2 、N 2 /H 2 、NH 3 、O 2 、およびO 3 の少なくとも1つを提供することを含む方法。
[適用例32]
適用例27に記載の方法であって、前記少なくとも1つの第1のHfO 2 層は、前記少なくとも1つの第2のHfO 2 層を堆積させるために使用されるドーズ時間よりも長いドーズ時間に従って堆積される方法。
[適用例33]
適用例27に記載の方法であって、前記少なくとも1つの第1のHfO 2 層を堆積させる前に、前記絶縁体層の熱処理およびプラズマ処理の少なくとも1つを実施することをさらに含む方法。
[適用例34]
適用例27に記載の方法であって、前記少なくとも1つの第1のHfO 2 層および前記少なくとも1つの第2のHfO 2 層は、原子層堆積法(ALD)を使用して堆積される方法。

Claims (34)

  1. 基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を形成するための方法であって、
    基板を、前記基板処理システムの処理チャンバー内に配置すること、
    前記基板上にHfO2層を堆積させること、
    前記HfO2層のプラズマ処理を実施すること、および
    前記HfO2層をアニールして、強誘電体ハフニウムHfO2を形成すること
    を含む方法。
  2. 請求項1に記載の方法であって、前記HfO2層は、原子層堆積法(ALD)を使用して堆積される方法。
  3. 請求項1に記載の方法であって、前記HfO2層をドープすることをさらに含む方法。
  4. 請求項3に記載の方法であって、前記HfO2層をドープすることは、ケイ素、アルミニウム、イットリア、ランタン、およびジルコニウムの少なくとも1つで前記HfO2層をドープすることを含む方法。
  5. 請求項3に記載の方法であって、前記HfO2層をドープすることは、0〜5mol%のドーパント種で前記HfO2層をドープすることを含む方法。
  6. 請求項1に記載の方法であって、前記HfO2層を堆積させることは、HfO2を前記基板上に堆積させるサイクルおよび前記堆積されたHfO2をドープするサイクルを交互に行うことを含む方法。
  7. 請求項1に記載の方法であって、前記HfO2層の厚さは、6〜12nmである方法。
  8. 請求項1に記載の方法であって、前記HfO2層を堆積させるサイクルおよび前記HfO2層のプラズマ処理実施のサイクルを交互に行うことをさらに含む方法。
  9. 請求項1に記載の方法であって、前記プラズマ処理を実施することは、少なくとも1つのプラズマガス種を使用して前記プラズマ処理を実施することを含み、前記少なくとも1つのプラズマガス種は、分子窒素(N2)、アンモニア(NH3)、分子酸素(O2)、オゾン(O3)、アルゴン(Ar)、ならびにアルゴンおよび分子水素(Ar/H2)の少なくとも1つを含む方法。
  10. 請求項1に記載の方法であって、前記プラズマ処理を実施することは、分子窒素(N2)で前記プラズマ処理を実施することを含み、N2で前記プラズマ処理を実施することは、前記HfO2層の表面にHfOxyの形成を引き起こす方法。
  11. 請求項1に記載の方法であって、前記プラズマ処理を実施することは、前記プラズマ処理を15〜60秒間実施することを含む方法。
  12. 請求項1に記載の方法であって、前記プラズマ処理を実施することは、500〜1200ワットの高周波(RF)電力で前記プラズマ処理を実施することを含む方法。
  13. 請求項12に記載の方法であって、前記RF電力は、1〜15MHzで提供される方法。
  14. 請求項1に記載の方法であって、前記HfO2層をアニールすることは、500〜1100℃の温度で前記HfO2層をアニールすることを含む方法。
  15. 請求項1に記載の方法であって、前記HfO2層をアニールすることは、800〜1000℃の温度で前記HfO2層をアニールすることを含む方法。
  16. 請求項1に記載の方法であって、前記アニールの前に、上部電極を前記HfO2層上に堆積させることをさらに含む方法。
  17. 請求項16に記載の方法であって、前記上部電極は、窒化タンタル、窒化チタン、およびタングステンの少なくとも1つを含む方法。
  18. 請求項1に記載の方法であって、前記基板上への前記HfO2層を堆積させることは、前記基板上に形成されている下部層および底部電極の1つに前記HfO2層を堆積させることを含む方法。
  19. 基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を含む基板を処理するための方法であって、
    絶縁体層を含む基板を、前記基板処理システムの処理チャンバー内に配置すること、
    前記絶縁体層の熱処理およびプラズマ処理の少なくとも1つを実施すること、
    前記絶縁体層上にHfO2層を堆積させること、および
    前記HfO2層をアニールして、強誘電体ハフニウムHfO2を形成すること、
    を含む方法。
  20. 請求項19に記載の方法であって、前記絶縁体層は、二酸化ケイ素(SiO2)およびケイ素オキシ窒化物(SiON)の1つを含む方法。
  21. 請求項19に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記熱処理および前記プラズマ処理を連続して実施することを含む方法。
  22. 請求項19に記載の方法であって、前記熱処理および前記プラズマ処理を少なくとも1つ実施することは、前記基板の温度を1〜30分間にわたって200〜600℃に上昇させることを含む方法。
  23. 請求項19に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記処理チャンバーに、N2、N2/H2、NH3、O2、およびO3の少なくとも1つを提供することを含む方法。
  24. 請求項19に記載の方法であって、前記HfO2層のプラズマ処理を実施することをさらに含む方法。
  25. 請求項19に記載の方法であって、前記HfO2層は、原子層堆積法(ALD)を使用して堆積される方法。
  26. 請求項19に記載の方法であって、前記HfO2層をドープすることをさらに含む方法。
  27. 基板処理システムにおいて強誘電体酸化ハフニウム(HfO2)を含む基板を処理するための方法であって、
    絶縁体層を含む基板を、前記基板処理システムの処理チャンバー内に配置すること、
    前記絶縁体層上に少なくとも1つの第1のHfO2層を堆積させること、
    前記少なくとも1つの第1のHfO2層の熱処理およびプラズマ処理の少なくとも1つを実施すること、
    前記少なくとも1つの第1のHfO2層上に少なくとも1つの第2のHfO2層を堆積させること、および
    前記少なくとも1つの第2のHfO2層および前記少なくとも1つの第1のHfO2層をアニールして、強誘電体ハフニウムHfO2層を形成すること
    を含む方法。
  28. 請求項27に記載の方法であって、前記絶縁体層は、二酸化ケイ素(SiO2)およびケイ素オキシ窒化物(SiON)の1つを含む方法。
  29. 請求項27に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記熱処理および前記プラズマ処理を連続して実施することを含む方法。
  30. 請求項27に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記基板の温度を1〜30分間にわたって200〜600℃に上昇させることを含む方法。
  31. 請求項27に記載の方法であって、前記熱処理および前記プラズマ処理の少なくとも1つを実施することは、前記処理チャンバーに、N2、N2/H2、NH3、O2、およびO3の少なくとも1つを提供することを含む方法。
  32. 請求項27に記載の方法であって、前記少なくとも1つの第1のHfO2層は、前記少なくとも1つの第2のHfO2層を堆積させるために使用されるドーズ時間よりも長いドーズ時間に従って堆積される方法。
  33. 請求項27に記載の方法であって、前記少なくとも1つの第1のHfO2層を堆積させる前に、前記絶縁体層の熱処理およびプラズマ処理の少なくとも1つを実施することをさらに含む方法。
  34. 請求項27に記載の方法であって、前記少なくとも1つの第1のHfO2層および前記少なくとも1つの第2のHfO2層は、原子層堆積法(ALD)を使用して堆積される方法。
JP2020509491A 2017-08-18 2018-08-08 プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法 Active JP7194171B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762547360P 2017-08-18 2017-08-18
US62/547,360 2017-08-18
US201762593530P 2017-12-01 2017-12-01
US62/593,530 2017-12-01
US16/052,963 US20190057860A1 (en) 2017-08-18 2018-08-02 Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US16/052,963 2018-08-02
PCT/US2018/045771 WO2019036252A1 (en) 2017-08-18 2018-08-08 METHODS OF IMPROVING PERFORMANCE IN HAFNIUM OXIDE FERROELECTRIC MATERIAL USING PLASMA AND / OR THERMAL PROCESSING

Publications (2)

Publication Number Publication Date
JP2020532113A true JP2020532113A (ja) 2020-11-05
JP7194171B2 JP7194171B2 (ja) 2022-12-21

Family

ID=65360650

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020509491A Active JP7194171B2 (ja) 2017-08-18 2018-08-08 プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法

Country Status (6)

Country Link
US (1) US20190057860A1 (ja)
JP (1) JP7194171B2 (ja)
KR (1) KR102658746B1 (ja)
CN (1) CN111033686B (ja)
TW (1) TW201921426A (ja)
WO (1) WO2019036252A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6887307B2 (ja) * 2017-05-19 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN109087997A (zh) * 2017-06-14 2018-12-25 萨摩亚商费洛储存科技股份有限公司 铁电膜层的制造方法、铁电隧道结单元、存储器元件及其写入与读取方法
US11349008B2 (en) * 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US11264489B2 (en) 2020-03-20 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices
US11171219B2 (en) * 2020-03-20 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices
US11227933B2 (en) * 2020-03-31 2022-01-18 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric field effect transistor using charge trapping band misalignment and methods of forming the same
US11335792B2 (en) * 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
KR20220004433A (ko) 2020-07-03 2022-01-11 삼성전자주식회사 유전체 물질층을 포함하는 박막 구조체 및 이를 구비하는 전자소자
US11665909B2 (en) 2020-07-23 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. FeRAM with laminated ferroelectric film and method forming same
CN112447508A (zh) * 2020-11-24 2021-03-05 湘潭大学 一种通过等离子体技术增强氧化铪(HfO2)基铁电薄膜铁电性能的方法
US11688601B2 (en) * 2020-11-30 2023-06-27 International Business Machines Corporation Obtaining a clean nitride surface by annealing
KR102399957B1 (ko) * 2021-01-25 2022-05-19 강원대학교산학협력단 강유전체 박막의 제조방법 및 이에 의해 제조된 강유전체 박막
US20220278115A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric Memory Device and Method of Manufacturing the Same
EP4135009A1 (en) * 2021-08-11 2023-02-15 IMEC vzw A memory device with a ferroelectric charge trapping layer
KR20230041502A (ko) * 2021-09-17 2023-03-24 삼성전자주식회사 강유전체 전자 소자 및 그 결함 밀도 추출 방법
CN114836716B (zh) * 2022-03-23 2023-01-24 中南大学 一种无顶电极夹持HfO2基薄膜材料的制备方法及应用
CN114990530B (zh) * 2022-06-02 2024-06-07 华东师范大学 一种低温制备hzo铁电薄膜的方法及hzo铁电薄膜
US20240064993A1 (en) * 2022-08-11 2024-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating transistor structure
CN115261788B (zh) * 2022-09-07 2023-04-18 宁波大学 一种提高HfO2铁电性的方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004158481A (ja) * 2002-11-01 2004-06-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2005183940A (ja) * 2003-12-18 2005-07-07 Sharp Corp プラズマ酸化high−k電荷トラッピング層を用いて不揮発性メモリを製造する方法
JP2008500741A (ja) * 2004-05-21 2008-01-10 アプライド マテリアルズ インコーポレイテッド 高誘電率誘電体材料の安定化
JP2009506537A (ja) * 2005-08-23 2009-02-12 アプライド マテリアルズ インコーポレイテッド ゲート誘電体層の高k窒化物形成における窒素プロファイルエンジニアリング
JP2010510677A (ja) * 2006-11-20 2010-04-02 アプライド マテリアルズ インコーポレイテッド ゲートスタック構造の順次処理のクラスター化方法
JP2013058559A (ja) * 2011-09-07 2013-03-28 Tokyo Electron Ltd 半導体装置の製造方法及び基板処理システム
JP2017518639A (ja) * 2014-05-20 2017-07-06 マイクロン テクノロジー, インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法。

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100513719B1 (ko) * 2002-08-12 2005-09-07 삼성전자주식회사 하프늄 산화막 형성용 전구체 및 상기 전구체를 이용한하프늄 산화막의 형성방법
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
JP2008166360A (ja) * 2006-12-27 2008-07-17 Hitachi Ltd 半導体集積回路装置
US20100120245A1 (en) * 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
US8546275B2 (en) * 2011-09-19 2013-10-01 Intermolecular, Inc. Atomic layer deposition of hafnium and zirconium oxides for memory applications
US9231206B2 (en) * 2013-09-13 2016-01-05 Micron Technology, Inc. Methods of forming a ferroelectric memory cell
KR20150037009A (ko) * 2013-09-30 2015-04-08 에스케이하이닉스 주식회사 고유전층을 포함하는 반도체장치 및 그 제조 방법
US20150140838A1 (en) * 2013-11-19 2015-05-21 Intermolecular Inc. Two Step Deposition of High-k Gate Dielectric Materials
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004158481A (ja) * 2002-11-01 2004-06-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2005183940A (ja) * 2003-12-18 2005-07-07 Sharp Corp プラズマ酸化high−k電荷トラッピング層を用いて不揮発性メモリを製造する方法
JP2008500741A (ja) * 2004-05-21 2008-01-10 アプライド マテリアルズ インコーポレイテッド 高誘電率誘電体材料の安定化
JP2009506537A (ja) * 2005-08-23 2009-02-12 アプライド マテリアルズ インコーポレイテッド ゲート誘電体層の高k窒化物形成における窒素プロファイルエンジニアリング
JP2010510677A (ja) * 2006-11-20 2010-04-02 アプライド マテリアルズ インコーポレイテッド ゲートスタック構造の順次処理のクラスター化方法
JP2013058559A (ja) * 2011-09-07 2013-03-28 Tokyo Electron Ltd 半導体装置の製造方法及び基板処理システム
JP2017518639A (ja) * 2014-05-20 2017-07-06 マイクロン テクノロジー, インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法。

Also Published As

Publication number Publication date
WO2019036252A1 (en) 2019-02-21
CN111033686B (zh) 2024-05-10
KR102658746B1 (ko) 2024-04-17
TW201921426A (zh) 2019-06-01
KR20200033980A (ko) 2020-03-30
JP7194171B2 (ja) 2022-12-21
US20190057860A1 (en) 2019-02-21
CN111033686A (zh) 2020-04-17

Similar Documents

Publication Publication Date Title
JP7194171B2 (ja) プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法
TWI590329B (zh) 藉由微波電漿處理以提升半導體裝置中之高介電常數膜成核速率及電移動度的方法
US7816283B2 (en) Method of depositing a higher permittivity dielectric film
CN101401194B (zh) 使用低能量等离子体系统制造高介电常数晶体管栅极的方法和装置
KR20080046647A (ko) 게이트 유전층의 높은-k 질화에서의 질소 프로파일엔지니어링
JP4162601B2 (ja) 絶縁膜の形成方法
TWI815891B (zh) 薄膜及沉積薄膜的方法
JP7354138B2 (ja) 酸化ハフニウム系強誘電材料のためのキャップ層
KR101713336B1 (ko) 라이너의 제거 처리 방법
JP7307745B2 (ja) 窒化ハフニウム層による酸化ハフニウムの強誘電特性の変更
JP2004193409A (ja) 絶縁膜の形成方法
TWI621218B (zh) 包含鍺之半導體元件及其形成方法
KR100670671B1 (ko) 반도체 소자의 하프늄 산화막 형성방법
KR20230054721A (ko) 게르마늄에 대한 확산 배리어들
JP2009079301A (ja) 反応性スパッタリング装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200513

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210705

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221107

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221209

R150 Certificate of patent or registration of utility model

Ref document number: 7194171

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150