JP7354138B2 - 酸化ハフニウム系強誘電材料のためのキャップ層 - Google Patents

酸化ハフニウム系強誘電材料のためのキャップ層 Download PDF

Info

Publication number
JP7354138B2
JP7354138B2 JP2020553591A JP2020553591A JP7354138B2 JP 7354138 B2 JP7354138 B2 JP 7354138B2 JP 2020553591 A JP2020553591 A JP 2020553591A JP 2020553591 A JP2020553591 A JP 2020553591A JP 7354138 B2 JP7354138 B2 JP 7354138B2
Authority
JP
Japan
Prior art keywords
layer
hfo
cap layer
depositing
hfo2
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020553591A
Other languages
English (en)
Other versions
JP2021520628A (ja
Inventor
ユーン・ヒュングスク・アレキサンダー
ジュー・ゾンウェイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2021520628A publication Critical patent/JP2021520628A/ja
Application granted granted Critical
Publication of JP7354138B2 publication Critical patent/JP7354138B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Description

[関連出願の相互参照]
本願は、2018年4月2日出願の米国仮出願第62/651,466号の利益を主張する。上記出願の全ての開示は、参照として本明細書に援用される。
本開示は、基板を処理するための方法に関し、特に、酸化ハフニウム系強誘電材料におけるリーク電流を低減するための方法に関する。
本明細書に記載の背景技術の説明は、本開示の内容を一般的に提示するためである。現在名前が挙げられている発明者の発明は、本背景技術欄、および出願時の先行技術に該当しない説明の態様において記載される範囲で、本開示に対する先行技術として明示的にも黙示的にも認められない。
酸化ハフニウム(HfO2)系材料における強誘電的挙動の発見は、強誘電体メモリ(FeRAM)に関する研究を復活させた。チタン酸ジルコン酸鉛(PZT)などの従来の強誘電材料は、50ナノメータ(nm)未満の厚さに対して適切なスイッチングウィンドウを有さない。よって、PZTは、50nm未満のフィーチャサイズを有するデバイスに用いられることができない。
HfO2は、高保磁力のため、6nmの厚さまでの優れた強誘電スイッチングヒステリシスを有する。HfO2は、3Dメモリ構造についても有望である。HfO2は、ゲート誘電体としてCMOS技術で広く用いられてきた。これらの適用では、HfO2は、共形原子層堆積(ALD)を用いて堆積される。よって、HfO2は、既存の3D NAND型集積化スキームを用いる3D FeRAMへの集積化に適する可能性がある。
基板処理システムにおいて強誘電酸化ハフニウム(HfO2)を形成する方法は、基板上にHfO2層を堆積させることと、HfO2層上にキャップ層を堆積させることと、HfO2層およびキャップ層をアニールして強誘電ハフニウムHfO2を形成することと、HfO2層を除去することなくキャップ層を除去するためにキャップ層を選択的にエッチングすることとを含む。
他の特徴では、キャップ層は、窒化シリコン(SiN)、二酸化シリコン(SiO2)、および酸化アルミニウム(Al23)からなる群より選択された材料を含む。キャップ層は、チタンおよびタンタルのいずれも含まない。この方法は、さらに、キャップ層の堆積より前にHfO2層を窒化することを含む。この方法は、さらに、キャップ層の除去に続いてHfO2層上に上部電極を堆積させることを含む。上部電極は、チタン、タンタル、およびタングステンからなる群より選択された材料を含む。
他の特徴では、キャップ層を選択的にエッチングすることは、希フッ酸溶液を用いてキャップ層をウェットエッチングすることを含む。キャップ層を選択的にエッチングすることは、フルオロカーボンプラズマおよびハロゲンプラズマのうちの少なくともいずれかによって生成されたプラズマを用いてキャップ層をドライプラズマエッチングすることを含む。HfO2層およびキャップ層をアニールして強誘電ハフニウムHfO2を形成することは、500℃~1000℃の温度で急速熱アニールプロセスを実施することを含む。
他の特徴では、この方法は、さらに、基板上に底部電極を堆積させることを含み、HfO2層を堆積させることは、底部電極上にHfO2層を堆積させることを含む。この方法は、さらに、キャップ層の堆積より前にHfO2層のプラズマ処理を実施することを含む。この方法は、さらに、キャップ層の選択的エッチングに続いてHfO2層を補修することと、HfO2層の補修に続いてHfO2層上に上部電極を堆積させることとを含む。HfO2層を補修することは、HfO2層の上に追加のHfO2材料を堆積させることを含む。
処理チャンバにおいて基板上に強誘電酸化ハフニウム(HfO2)を形成するように構成されたシステムは、処理チャンバにプロセスガスを供給するように構成されたガス配送システムと、処理チャンバ内でプラズマを選択的に生成するように構成された無線周波数(RF)生成システムとを備える。コントローラは、ガス配送システムおよびRF生成システムを制御することによって、基板上にHfO2層を堆積させ、HfO2層上にキャップ層を堆積させ、HfO2層およびキャップ層をアニールして強誘電ハフニウムHfO2を形成し、HfO2層を除去することなくキャップ層を除去するためにキャップ層を選択的にエッチングするように構成されている。
他の特徴では、キャップ層は、窒化シリコン(SiN)、二酸化シリコン(SiO2)、および酸化アルミニウム(Al23)からなる群より選択された材料を含む。キャップ層は、チタンおよびタンタルのいずれも含まない。コントローラは、さらに、キャップ層の堆積より前にHfO2層を窒化するように構成されている。コントローラは、さらに、キャップ層の除去に続いてHfO2層上に上部電極を堆積させるように構成されている。上部電極は、チタン、タンタル、およびタングステンからなる群より選択された材料を含む。
他の特徴では、キャップ層を選択的にエッチングすることは、希フッ酸溶液を用いてキャップ層をウェットエッチングすることを含む。キャップ層を選択的にエッチングすることは、フルオロカーボンプラズマおよびハロゲンプラズマのうちの少なくともいずれかによって生成されたプラズマを用いてキャップ層をドライプラズマエッチングすることを含む。HfO2層およびキャップ層をアニールして強誘電ハフニウムHfO2を形成することは、500℃~1000℃の温度で急速熱アニールプロセスを実施することを含む。
他の特徴では、コントローラは、さらに、基板上に底部電極を堆積させることを含み、HfO2層を堆積させることは、底部電極上にHfO2層を堆積させることを含む。コントローラは、さらに、キャップ層の堆積より前にHfO2層のプラズマ処理を実施するように構成されている。コントローラは、さらに、キャップ層の選択的エッチングに続いてHfO2層を補修し、HfO2層の補修に続いてHfO2層上に上部電極を堆積させるように構成されている。HfO2層を補修することは、HfO2層の上に追加のHfO2材料を堆積させることを含む。
本開示のさらなる適用範囲は、発明を実施するための形態、特許請求の範囲、および図面から明らかになるだろう。発明を実施するための形態および特定の例は、説明の目的のみを意図し、本開示の範囲を限定する意図はない。
本開示は、発明を実施するための形態および添付の図面からより深く理解されるだろう。
本開示に従って強誘電酸化ハフニウム(HfO2)層を形成するための例示的基板処理システムの機能ブロック図。
強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。
強誘電HfO2層を補修するための例示的プロセスの側断面図。 強誘電HfO2層を補修するための例示的プロセスの側断面図。
本開示に従って強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 本開示に従って強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 本開示に従って強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 本開示に従って強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 本開示に従って強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。 本開示に従って強誘電HfO2を含むデバイスを形成するための例示的プロセスの側断面図。
本開示に従って犠牲キャップ層を用いて強誘電HfO2層を形成するための例示的方法を表す図。
図中では、参照番号は、類似および/または同一の要素を特定するために繰り返し用いられてよい。
HfO2の熱安定性は、FeRAMを用いての商用化にとって障害である。600℃~650℃の温度は、成膜直後の非晶質HfO2を強誘電相に結晶化できるほど十分に高いが、多くの集積スキームは、少なくとも1000℃のサーマルバジェットを必要とする。高温のプロセス温度は、リーク電流を増加させ、および/または、デバイスをショートさせて、HfO2系FeRAMを劣化させる。高温アニール後のリークの原因は、上部電極/HfO2境界面における欠陥の発生を含む。リーク電流の別の原因は、HfO2の膜割れを含む。HfO2の割れによって、上部電極から底部電極への原子(通常、TiN)は、HfO2に自由に拡散し、最終的にデバイスを劣化させる。例えば、上部電極は、アニールの間、蓋として機能して、HfO2層が熱力学的に安定した単斜晶相に転移するのを防ぐ。HfO2は、単斜晶相では強誘電性を有さない。
本開示によるシステムおよび方法は、欠陥およびリークが低減された強誘電HfO2層を半導体基板上に形成するように構成されたキャップ層を実装する。例えば、キャップ層は、HfO2層を窒化するためのTin以外の酸化物および/または窒化物を含んでよい。例えば、キャップ層は、窒化シリコン(SiN)、二酸化シリコン(SiO2)、酸化アルミニウム(Al23)、酸窒化シリコン(SiOxy)、窒化アルミニウム(AIN)、酸窒化アルミニウム(AlOxy)などを含んでよい。いくつかの例では、キャップ層は、チタンまたはタンタル(五酸化タンタル(Ta25)、酸窒化タンタル(TaOxy)、酸化チタン(TiO2)、酸窒化チタン(TiOxy)など)を含んでよい。キャップ層の材料は、HfO2をアニールすることによって強誘電HfO2が形成されるように、アニールに続いてキャップ層がHfO2から選択的に除去(例えば、ドライエッチングまたはウェットエッチングによってエッチング)されうるように、および、キャップ層の原子がHfO2に拡散せず、HfO2から酸素原子を捕捉しないように、選択される。キャップ層の材料は、界面応力を低減させ、HfO2の粒度および粒子配向、スイッチング速度、残留分極、ならびに他の特性を調節するように選択されてもよい。
キャップ層は、Tiの拡散によって生じたHfO2の劣化を排除しながら、アニール中の斜方晶の強誘電HfO2の形成を容易にする。次に、キャップ層は除去され、上部電極がHfO2の上に堆積される。いくつかの例では、アニールによって生じたHfO2の割れを補修するために、アニールに続いて上部電極の堆積より前にHfO2の追加堆積が実施されてよい。例えば、HfO2の追加堆積は、2回以上の堆積サイクルを実施することを含んでよい。
図1は、本開示に従って強誘電HfO2を形成するために、原子層堆積(ALD)を用いてHfO2を堆積させ、必要に応じてHfO2をドープし、キャップ層を堆積させるための例示的な基板処理システム100を示す。HfO2の堆積およびドーピング、ならびにキャップ層の堆積は、この例では同じ処理チャンバで実施されるが、別々の処理チャンバが用いられることもできる。例えば、以下に記載の1つ以上の堆積工程またはエッチング工程を実施するために、トランス結合プラズマ(TCP)チャンバ、プラズマ強化化学気相堆積(PECVD)チャンバ、高圧CVD(HPCVD)チャンバ、および/または、リモートプラズマ源を用いる処理チャンバが用いられてよい。
基板処理システム100は、基板処理システム100の他の構成要素を取り囲み、RFプラズマを含む処理チャンバ102を備える。基板処理チャンバ102は、上部電極104と、静電チャック(ESC)106などの基板支持体とを備える。動作中は、基板108は、ESC106の上に配置される。
例えのみで、上部電極104は、プロセスガスを導入し分配するシャワーヘッド109を備えてよい。シャワーヘッド109は、処理チャンバの上面に接続された一端を有するステム部を備えてよい。基部は、一般に円筒状で、処理チャンバの上面から離れた位置でステム部のもう一端から径方向外向きに延びる。シャワーヘッドの基部の基板対向面または面板は、プロセスガスまたはパージガスが流れる複数の穴を備える。あるいは、上部電極104は導電板を備えてよく、プロセスガスは別の方法で導入されてよい。
ESC106は、下部電極として機能する導電性のベースプレート110を備える。ベースプレート110は、セラミックマルチゾーン型加熱プレートに相当しうる加熱プレート112を支持する。加熱プレート112とベースプレート110との間に、熱抵抗層114が配置されてよい。ベースプレート110は、ベースプレート110を通じて冷媒を流すための1つ以上の冷媒流路116を備えてよい。
RF生成システム120は、RF電圧を生成し、上部電極104および下部電極(例えば、ESC106のベースプレート110)のいずれかに出力する。上部電極104およびベースプレート110のもう一方は、DC接地されてよい、またはAC接地されてよい、または浮遊状態であってよい。例えのみでは、RF生成システム120は、整合分配ネットワーク124によって上部電極104またはベースプレート110に供給されるRF電圧を生成するRF電圧発生器122を備えてよい。他の例では、プラズマは、誘導的にまたは遠隔的に生成されてよい。
ガス配送システム130は、1つ以上のガス源、ガス源132-1、ガス源132-2、・・・、およびガス源132-N(総称して、ガス源132)を備える(Nは、ゼロより大きい整数)。ガス源は、1つ以上の蒸着前駆体およびその混合物を供給する。ガス前駆体は、HfO2層および/または他の層のための前駆体ガスを含んでよい。ガス源は、パージガス、ならびに、プラズマ窒化用の窒素種および/または他のプラズマ処理のための他のガス種(Ar、Ar/H2、NH3、O2、O3など)を含むガスを供給してもよい。気化前駆体が用いられることもできる。ガス源132は、弁134-1、弁134-2、・・・、および弁134-N(総称して、弁134)、ならびに、マスフローコントローラ136-1、マスフローコントローラ136-2、・・・、マスフローコントローラ136-N(総称して、マスフローコントローラ136)によって、マニホルド138に接続されている。マニホルド138の出力は、処理チャンバ102に供給される。例えのみでは、マニホルド138の出力は、シャワーヘッド109に供給される。いくつかの例では、必要に応じて、マスフローコントローラ136とマニホルド138との間にオゾン発生器140が設けられてよい。いくつかの例では、基板処理システム100は、液体前駆体配送システム141を備えてよい。液体前駆体配送システム141は、図のようにガス配送システム130の中に組み込まれてよい、または、ガス配送システム130の外側にあってよい。液体前駆体配送システム141は、バブラ、直接液体注入、ベーパードローなどによって室温で液体および/または固体の前駆体を提供するように構成されている。
温度制御装置142は、加熱プレート112に配置された複数の熱制御素子(TCE)144に接続されてよい。例えば、TCE144は、図2Aおよび図2Bにおいてより詳細に説明される、マルチゾーン加熱プレートの各ゾーンに対応するそれぞれの大型TCE、および/または、マルチゾーン加熱プレートの複数ゾーン全体に配置された小型TCEの配列を含んでよいが、それらに限定されない。温度制御装置142は、ESC106および基板108の温度を制御するよう複数のTCE144を制御するために用いられてよい。
温度制御装置142は、流路116を流れる冷媒を制御するために冷媒アセンブリ146(例えば、冷媒ポンプ、冷媒容器、冷媒源など)と連通してよい。温度制御装置142は、ESC106を冷却するために流路116を通じて冷媒を選択的に流すように冷媒アセンブリ146を操作する。
弁150およびポンプ152は、処理チャンバ102から反応剤を排出するのに用いられてよい。システムコントローラ160は、基板処理システム100の構成要素を制御するのに用いられてよい。ロボット170は、基板をESC106の上に配送する、および基板をESC106から取り除くのに用いられてよい。例えば、ロボット170は、基板をESC106とロードロック172との間で搬送してよい。別々のコントローラとして図示されているが、温度制御装置142は、システムコントローラ160の内部に実装されてよい。
次に図2A、図2B、図2C、図2D、図2E、および図2Fを参照すると、デバイス200において(HfO2)系強誘電材料を形成するための例示的プロセスが示されている。図2Aでは、デバイス200は、基板(例えば、1つ以上の下地層)204と、下地層204の上に配置された界面層208とを備える。例えば、下地層204は、シリコン(Si)を含む。いくつかの例では、界面層208は、窒化チタン(TiN)、窒化タンタル(TaN)、またはタングステン(W)を含む底部電極に相当するが、他の電極材料が用いられることもできる。他の例は、白金(Pt)、金(Au)、パラジウム(Pd)、アルミニウム(Al)、モリブデン(Mo)、ニッケル(Ni)、チタン(Ti)などを含むが、これらに限定されない。他の例では、界面層208は、二酸化シリコン(SiO2)または酸窒化シリコン(SiON)を含んでよい。いくつかの例では、界面層208は、原子層堆積(ALD)、化学気相堆積(CVD)、または物理気相堆積(PVD)を用いて堆積される。他の例では、界面層208は、Siの熱酸化によって形成されてよい。例えば、界面層208は、SiON、SiO2のプラズマ窒化物などを形成するために、窒素種(例えば、N2OまたはN2)を含む酸素環境においてSiの熱酸化によって形成されてよい。
図2Bに示されるように、界面層208の上にHfO2層212が堆積される。いくつかの例では、堆積したHfO2層212は、2nm~12nmの厚さを有する。いくつかの例では、HfO2層212は、シリコン(Si)、アルミニウム(Al)、イットリウム(Y)、ジルコニウム(Zr)、および/またはランタン(La)からなる群より選択されたドーパント種を用いてドープされる。いくつかの例では、HfO2層212は、原子層堆積(ALD)を用いて堆積されるが、他のプロセスが用いられることもできる。例えば、熱ALDまたはプラズマ強化ALDが用いられうる。いくつかの例では、HfO2層212は、ドープされない。他の例では、HfO2層212は、選択されたドーパント種の0mol%より大きいレベルから60mol%以下のレベルの既定ドーピングレベルにドープされる。いくつかの例では、HfO2層212は、選択されたドーパント種の3mol%~5mol%の既定ドーピングレベルにドープされる。HfO2層212は、非晶質であってよい。
必要に応じて、HfO2層212のプラズマ処理が実施されてよい。例えば、HfO2層212は、窒素ガス種を含むプラズマによって窒化される。例えば、窒素分子(N2)ガスが用いられてよい。いくつかの例では、窒化は、15秒~60秒の既定期間に実施される。いくつかの例では、RF電力は、100W~15kWであってよい。いくつかの例では、プラズマ電力は、500W~1200Wである。いくつかの例では、RF周波数は、1MHz~15MHzであってよい。いくつかの例では、RF周波数は、2.0MHzおよび/または13.56MHzである。
プラズマ処理の後、図2Cに示されるように、HfO2層212の上に上部電極216が堆積される。いくつかの例では、上部電極216は、TiN、TaN、またはWを含むが、他の電極材料が用いられることもできる(例えば、Pt、Au、Pd、Al、Mo、Ni、Tiなど)。いくつかの例では、上部電極216は、原子層堆積(ALD)、化学気相堆積(CVD)、または物理気相堆積(PVD)を用いて堆積される。上部電極216の堆積後に、デバイス200は、500℃~1100℃の既定温度でアニールされる。他の例では、アニール温度は、800℃~1000℃である。
アニール後に、上部電極216は、図2D、図2E、および図2Fに示されるようにパターニングされる。例えば、図2Dに示されるようにマスク220が堆積されてよい。マスク220は、白金(Pt)を含んでよい。上部電極216は、図2Eに示されるように、ウェットエッチングまたはドライエッチングを用いてエッチングされる。いくつかの例では、マスク220は、図2Fに示されるように、必要に応じて除去される。他の例では、マスクは除去されない。
次に図3Aおよび図3Bを参照すると、図2A~図2Fに示された方法で形成された強誘電HfO2層304を含むデバイス300を補修するための例示的プロセスが示されている。図3Aに示されるように、(例えば、図2Cで上述されたように)デバイス300をアニールすることは、HfO2層304に割れを生じさせる可能性がある。例えば、1つ以上の割れ308は、HfO2層304の至るところで部分的にまたは全体的に広がる可能性がある。従って、割れ308を埋めるため、および/または、HfO2層304の表面上の他の欠陥を補修するために、図3Bに示されるようにHfO2層304の上にHfO2薄層312を堆積させる追加のHfO2堆積工程が(例えば、1サイクル以上)実施されてよい。HfO2層304の表面上の割れ308および他の欠陥(例えば、HfO2層304と上部電極との境界における欠陥)は、上部電極および底部電極からHfO2層304へのTi原子またはTa原子の拡散を促進し、リーク電流を増加させ、デバイス300をショートさせるなどし、デバイス300の不良を引き起こす可能性がある。
次に図4A、図4B、図4C、図4D、図4E、および図4Fを参照すると、本開示に従い犠牲キャップ層を用いてデバイス400に(HfO2)系強誘電材料を形成するための例示的プロセスが示されている。図4Aでは、デバイス400は、基板(例えば、1つ以上の下地層)404と、下地層404の上に配置された界面層408とを備える。例えば、下地層404は、シリコン(Si)、ゲルマニウム(Ge)、シリコンゲルマニウム(SixGe(1-x))などを含む。いくつかの例では、界面層408は、窒化チタン(TiN)、窒化タンタル(TaN)、またはタングステン(W)を含む底部電極に相当するが、他の電極材料が用いられることもできる(例えば、Pt、Au、Pd、Al、Mo、Ni、Tiなど)。他の例では、界面層408は、二酸化シリコン(SiO2)または酸窒化シリコン(SiON)を含んでよい。いくつかの例では、界面層408は、原子層堆積(ALD)、化学気相堆積(CVD)、または物理気相堆積(PVD)を用いて堆積される。
図4Bに示されるように、界面層408の上にHfO2層412が堆積される。いくつかの例では、堆積したHfO2層412は、2nm~12nmの厚さを有する。いくつかの例では、HfO2層412は、シリコン(Si)、アルミニウム(Al)、イットリウム(Y)、ジルコニウム(Zr)、および/またはランタン(La)からなる群より選択されたドーパント種を用いてドープされる。いくつかの例では、HfO2層412は、原子層堆積(ALD)を用いて堆積されるが、他のプロセスが用いられることもできる。例えば、熱ALDまたはプラズマ強化ALDが用いられうる。いくつかの例では、HfO2層412は、ドープされない。他の例では、HfO2層412は、選択されたドーパント種の0mol%より大きいレベルから60mol%以下のレベルの既定ドーピングレベルにドープされる。いくつかの例では、HfO2層412は、選択されたドーパント種の3mol%~5mol%の既定ドーピングレベルにドープされる。HfO2層412は、非晶質であってよい。
必要に応じて、HfO2層412のプラズマ処理が実施されてよい。例えば、HfO2層412は、窒素ガス種を含むプラズマによって窒化される。例えば、窒素分子(N2)ガスが用いられてよい。いくつかの例では、窒化は、15秒~60秒の既定期間に実施される。いくつかの例では、RF電力は、100W~15kWであってよい。いくつかの例では、プラズマ電力は、500W~1200Wである。いくつかの例では、RF周波数は、1MHz~15MHzであってよい。いくつかの例では、RF周波数は、2.0MHzおよび/または13.56MHzである。
プラズマ処理の後、図4Cに示されるように、HfO2層412の上にキャップ層416(例えば、犠牲誘電体層)が堆積される。いくつかの例では、キャップ層416は、HfO2層を窒化するためのTiN以外の酸化物および/または窒化物を含む。例えば、キャップ層416は、窒化シリコン(SiN)、二酸化シリコン(SiO2)、酸化アルミニウム(Al23)、酸窒化シリコン(SiOxy)、窒化アルミニウム(AlN)、酸窒化アルミニウム(AlOxy)、五酸化タンタル(Ta25)、酸窒化タンタル(TaOxy)、酸化チタン(TiO2)、酸窒化チタン(TiOxy)などを含んでよい。いくつかの例では、キャップ層416は、原子層堆積(ALD)、化学気相堆積(CVD)、または物理気相堆積(PVD)を用いて堆積される。キャップ層416の堆積後に、デバイス400は、500℃~1100℃の温度でアニールされて、強誘電HfO2が形成される。他の例では、アニール温度は、800℃~1000℃である。
アニール後に、キャップ層416は、図4Dに示されるように、(例えば、ドライエッチングまたはウェットエッチングによって)HfO2層412から除去される。例えば、キャップ層416の除去は、HfO2層412およびキャップ層416の堆積とは異なる処理チャンバで実施されてよい。エッチングは、HfO2層412を除去することなくキャップ層416を選択的に除去するように構成された材料を用いて実施されてよい。つまり、エッチングは、キャップ層416の材料を選択的にエッチングするように構成されている。
例示的なウェットエッチングプロセスでは、SiNを含むキャップ層416の選択的エッチングのために、希フッ酸(HF)溶液が処理チャンバに供給されてよい。一例では、希フッ酸溶液は、水性溶媒または非水溶媒(例えば、アルコール)で溶解されたフッ化水素酸を含んでよい。溶液中の溶媒のフッ化水素酸に対する比率は、10:1から100:1の間であってよい。希フッ酸溶液は、SiNのエッチングに選択的である。反対に、HfO2は、希フッ酸溶液において安定している。例えば、希フッ酸を用いるウェットエッチングへの10分間の曝露は、キャップ層416を完全に除去しながらHfO2層412からエッチングされる材料を0.2nm未満にしうる。
HfO2に対してSiNを選択的にエッチングするように構成された例示的なドライプラズマエッチングプロセスは、フッ化メタン(CH3F)および酸素分子(O2)、三フッ化窒素(NF3)および塩素(Cl2)、NF3およびO2、ならびにテトラフルオロメタン(CF4)およびO2を用いて生成されたプラズマを用いてよいが、それらに限定されない。HfO2に対してSiNを選択的にエッチングするように構成された例示的なドライプラズマエッチングプロセスは、CF4およびO2を用いて生成されたプラズマを用いてよい。反対に、三塩化ホウ素(BCl3)を用いて生成されたプラズマは、HfO2層412を実質的にエッチングしてよい。従って、HfO2に対してSiNを選択的にドライプラズマエッチングするのに適したプラズマは、フルオロカーボンプラズマおよび/またはハロゲンプラズマを含んでよい。
キャップ層416の除去の後に、キャップ層416のアニールおよびエッチングの間にHfO2層412に生じた損傷を補修するために、1回以上の追加の補修工程および/または洗浄工程が実施されてよい。例えば、補修工程は、HfO2層412の上に追加のHfO2材料を堆積させるために1回以上のHfO2堆積サイクルを実施することを含んでよい。
次に、図4Eに示されるように、上部電極420がHfO2層412の上に堆積される。いくつかの例では、上部電極420は、TiN、TaN、またはWを含むが、他の電極材料が用いられることもできる(例えば、Pt、Au、Pd、Al、Mo、Ni、Tiなど)。いくつかの例では、上部電極420は、原子層堆積(ALD)、化学気相堆積(CVD)、または物理気相堆積(PVD)を用いて堆積される。上部電極420の堆積後に、上部電極420は、図4Fに示されるように(例えば、上部電極420の上にマスクを堆積させ、上部電極420をエッチングし、マスクを除去することによって)パターニングされてよい。
次に図5を参照すると、本開示に従って犠牲キャップ層を用いて強誘電HfO2層を形成するための例示的な方法500は、504で開始する。508では、基板が設置される。例えば、1つ以上の下地層を含む基板が、基板処理チャンバ内の基板支持体の上に配置される。512では、界面層が基板上に堆積される。界面層は、二酸化シリコン(SiO2)または酸窒化シリコン(SiON)を含んでよい、および/または、窒化チタン(TiN)、窒化タンタル(TaN)、またはタングステン(W)を含む底部電極に相当してよい。界面層は、原子層堆積(ALD)、化学気相堆積(CVD)、または物理気相堆積(PVD)を用いて堆積される。516では、界面層の上にドープHfO2層または非ドープHfO2層が(例えば、ALDを用いて)堆積される。520では、必要に応じてHfO2層のプラズマ処理が実施されてよい。例えば、HfO2層は、窒素ガス種を含むプラズマによって窒化されてよい。
524では、HfO2層の上にキャップ層(例えば、犠牲キャップ層)が堆積される。例えば、キャップ層は、窒化シリコン(SiN)、二酸化シリコン(SiO2)、酸化アルミニウム(Al23)、酸窒化シリコン(SiOxy)、窒化アルミニウム(AlN)、酸窒化アルミニウム(AlOxy)、五酸化タンタル(Ta25)、酸窒化タンタル(TaOxy)、酸化チタン(TiO2)、酸窒化チタン(TiOxy)などを含んでよい。キャップ層は、原子層堆積(ALD)、化学気相堆積(CVD)、または物理気相堆積(PVD)を用いて堆積されてよい。528では、基板、界面層、HfO2層、およびキャップ層は、500℃~1100℃(例えば、800℃~1000℃)の既定温度でアニールされて、強誘電HfO2が形成される。532では、キャップ層は(例えば、ドライエッチングまたはウェットエッチングによって)除去される。536では、必要に応じて1回以上の追加の補修工程および/または洗浄工程が実施されてよい。例えば、補修工程は、HfO2層の上に追加のHfO2材料を堆積させるために1回以上のHfO2堆積サイクルを実施することを含んでよい。
540では、窒化されたHfO2層の上に上部電極(例えば、TiN、TaN、またはW)が堆積される。例えば、上部電極は、原子層堆積(ALD)、化学気相堆積(CVD)、または物理気相堆積(PVD)を用いて堆積される。上部電極は、544においてパターニングされ(例えば、上部電極上にマスクがパターニングされてよい)、548においてエッチングされてよい。方法500は、552で終了する。
前述の説明は、本質的に単なる例示であり、本開示、その適用、または使用を限定する意図はない。本開示の広範囲の教示は、様々な形態で実施されうる。よって、本開示は特定の例を含むが、図面、明細書、以下の特許請求の範囲を検討すると他の変形例が明らかになるため、本開示の真の範囲はそれほど限定されるべきでない。方法内の1つ以上の工程は、本開示の原理を変更することなく異なる順序で(または、同時に)実行されてよいことを理解されたい。さらに、各実施形態は特定の特徴を有するように上述されているが、本開示のいずれかの実施形態に関して記載された1つ以上のこれらの特徴は、他の実施形態において、および/または、他の実施形態の特徴と組み合わせて(その組み合わせが明記されていないとしても)実施されうる。つまり、記載の実施形態は相互に排他的ではなく、1つ以上の実施形態を互いに並べ替えることは、本開示の範囲内にある。
要素間(例えば、モジュール間、回路素子間、半導体層間など)の空間的および機能的関係は、「接続された」、「係合された」、「結合された」、「隣接する」、「近接する」、「上に」、「上方」、「下方」、および「配置された」を含む様々な用語を用いて説明される。第1の要素と第2の要素との関係が上記の開示で説明されるときは、「直接的」であると明記されない限り、その関係は、他の要素が第1の要素と第2の要素との間に介在しない直接的関係でありうるが、1つ以上の介在要素が第1の要素と第2の要素との間に(空間的または機能的に)存在する間接的関係でもありうる。本明細書では、A、B、およびCのうちの少なくとも1つという表現は、非排他的論理、OR、を用いる論理(A OR B OR C)を意味すると解釈されるべきであり、「Aのうちの少なくとも1つ、Bのうちの少なくとも1つ、およびCのうちの少なくとも1つ」を意味すると解釈されるべきではない。
いくつかの実施形態では、コントローラは、上記の例の一部でありうるシステムの一部である。かかるシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む半導体処理装置を含みうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後のそれらの動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品または副部品を制御しうる「コントローラ」を意味してよい。コントローラは、処理条件および/またはシステムの種類に応じて、プロセスガスの配送、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)生成器の設定、RF整合回路設定、周波数設定、流量設定、流体配送設定、位置動作設定、ツールおよび他の搬送ツール、および/または、特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬入出など、本明細書に記載されたプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受信し、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にする様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサもしくはマイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造中における1つ以上の処理工程を実現するために、プロセスエンジニアによって定義されるレシピの一部であってよい。
いくつかの実施形態では、コントローラは、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、コントローラは、「クラウド」内にあってよい、または、ウエハ処理のリモートアクセスを可能にするファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理工程のパラメータを特定するデータ形式の命令を受信する。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のように、コントローラは、例えば、互いにネットワーク接続される1つ以上の個別のコントローラを含むことや、本明細書に記載のプロセスや制御などの共通の目的に向かって協働することによって分散されてよい。かかる目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)設置され、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、クリーンチャンバまたはクリーンモジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理気相堆積(PVD)チャンバまたはPVDモジュール、化学気相堆積(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセス工程に応じて、コントローラは、他のツール回路もしくはツールモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通しうる。本開示は以下の適用例を含む。
[適用例1]
基板処理システムにおいて強誘電酸化ハフニウム(HfO 2 )を形成するための方法であって、
基板上にHfO 2 層を堆積させることと、
前記HfO 2 層上にキャップ層を堆積させることと、
前記HfO 2 層および前記キャップ層をアニールして強誘電ハフニウムHfO 2 を形成することと、
前記HfO 2 層を除去することなく前記キャップ層を除去するために前記キャップ層を選択的にエッチングすることと、
を含む、方法。
[適用例2]
適用例1に記載の方法であって、
前記キャップ層は、窒化シリコン(SiN)、二酸化シリコン(SiO 2 )、および酸化アルミニウム(Al 2 3 )からなる群より選択された材料を含む、方法。
[適用例3]
適用例1に記載の方法であって、
前記キャップ層は、チタンおよびタンタルのいずれも含まない、方法。
[適用例4]
適用例1に記載の方法であって、さらに、
前記キャップ層を堆積させる前に前記HfO 2 層を窒化することを含む、方法。
[適用例5]
適用例1に記載の方法であって、さらに、
前記キャップ層を除去することに続いて、前記HfO 2 層の上に上部電極を堆積させることを含む、方法。
[適用例6]
適用例5に記載の方法であって、
前記上部電極は、チタン、タンタル、およびタングステンからなる群より選択された材料を含む、方法。
[適用例7]
適用例1に記載の方法であって、
前記キャップ層を選択的にエッチングすることは、希フッ酸溶液を用いて前記キャップ層をウェットエッチングすることを含む、方法。
[適用例8]
適用例1に記載の方法であって、
前記キャップ層を選択的にエッチングすることは、フルオロカーボンプラズマおよびハロゲンプラズマの少なくともいずれかによって生成されたプラズマを用いて前記キャップ層をドライプラズマエッチングすることを含む、方法。
[適用例9]
適用例1に記載の方法であって、
前記HfO 2 層および前記キャップ層をアニールして強誘電ハフニウムHfO 2 を形成することは、500℃~1000℃の温度で急速熱アニール処理を実施することを含む、方法。
[適用例10]
適用例1に記載の方法であって、さらに、
前記基板上に底部電極を堆積させることを含み、前記HfO 2 層を堆積させることは、前記底部電極上に前記HfO 2 層を堆積させることを含む、方法。
[適用例11]
適用例1に記載の方法であって、さらに、
前記キャップ層を堆積させる前に前記HfO 2 層のプラズマ処理を実施することを含む、方法。
[適用例12]
適用例1に記載の方法であって、さらに、
前記キャップ層を選択的にエッチングすることに続いて前記HfO 2 層を補修することと、
前記HfO 2 層を補修することに続いて前記HfO 2 層の上に上部電極を堆積させることと、
を含む、方法。
[適用例13]
適用例12に記載の方法であって、
前記HfO 2 層を補修することは、前記HfO 2 層の上に追加のHfO 2 材料を堆積させることを含む、方法。
[適用例14]
処理チャンバにおいて基板上に強誘電酸化ハフニウム(HfO 2 )を形成するように構成されたシステムであって、
前記処理チャンバにプロセスガスを供給するように構成されたガス配送システムと、
前記処理チャンバ内でプラズマを選択的に生成するように構成された無線周波数(RF)生成システムと、
コントローラであって、前記ガス配送システムおよび前記RF生成システムを制御することによって、
前記基板上にHfO 2 層を堆積させ、
前記HfO 2 層上にキャップ層を堆積させ、
前記HfO 2 層および前記キャップ層をアニールして強誘電ハフニウムHfO 2 を形成し、
前記HfO 2 層を除去することなく前記キャップ層を除去するために前記キャップ層を選択的にエッチングするように構成されたコントローラと、
を備える、システム。
[適用例15]
適用例14に記載のシステムであって、
前記キャップ層は、窒化シリコン(SiN)、二酸化シリコン(SiO 2 )、および酸化アルミニウム(Al 2 3 )からなる群より選択された材料を含む、システム。
[適用例16]
適用例14に記載のシステムであって、
前記キャップ層は、チタンおよびタンタルのいずれも含まない、システム。
[適用例17]
適用例14に記載のシステムであって、
前記コントローラは、さらに、前記キャップ層を堆積させる前に前記HfO 2 層を窒化するように構成されている、システム。
[適用例18]
適用例14に記載のシステムであって、
前記コントローラは、さらに、前記キャップ層を除去することに続いて、前記HfO 2 層の上に上部電極を堆積させるように構成されている、システム。
[適用例19]
適用例18に記載のシステムであって、
前記上部電極は、チタン、タンタル、およびタングステンからなる群より選択された材料を含む、システム。
[適用例20]
適用例14に記載のシステムであって、
前記キャップ層を選択的にエッチングすることは、希フッ酸溶液を用いて前記キャップ層をウェットエッチングすることを含む、システム。
[適用例21]
適用例14に記載のシステムであって、
前記キャップ層を選択的にエッチングすることは、フルオロカーボンプラズマおよびハロゲンプラズマの少なくともいずれかによって生成されたプラズマを用いて前記キャップ層をドライプラズマエッチングすることを含む、システム。
[適用例22]
適用例14に記載のシステムであって、
前記HfO 2 層および前記キャップ層をアニールして強誘電ハフニウムHfO 2 を形成することは、500℃~1000℃の温度で急速熱アニール処理を実施することを含む、システム。
[適用例23]
適用例14に記載のシステムであって、
前記コントローラは、さらに、前記基板上に底部電極を堆積させるように構成され、前記HfO 2 層を堆積させることは、前記底部電極に前記HfO 2 層を堆積させることを含む、システム。
[適用例24]
適用例14に記載のシステムであって、
前記コントローラは、さらに、前記キャップ層を堆積させる前に前記HfO 2 層のプラズマ処理を実施するように構成されている、システム。
[適用例25]
適用例14に記載のシステムであって、
前記コントローラは、さらに、
前記キャップ層を選択的にエッチングすることに続いて前記HfO 2 層を補修し、
前記HfO 2 層を補修することに続いて前記HfO 2 層の上に上部電極を堆積させるように構成されている、システム。
[適用例26]
適用例25に記載のシステムであって、
前記HfO 2 層を補修することは、前記HfO 2 層の上に追加のHfO 2 材料を堆積させることを含む、システム。

Claims (22)

  1. 基板処理システムにおいて強誘電酸化ハフニウム(HfO2)を形成するための方法であって、
    基板上にHfO2層を堆積させることと、
    前記HfO2層上にキャップ層を堆積させることと、
    前記HfO2層および前記キャップ層をアニールして強誘電ハフニウムHfO2を形成することと、
    前記HfO2層を除去することなく前記キャップ層を除去するために前記キャップ層を選択的にエッチングすることと、
    前記キャップ層を選択的にエッチングすることに続いて前記HfO 2 層を補修することと、
    前記HfO 2 層を補修することに続いて前記HfO 2 層の上に上部電極を堆積させることと、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記キャップ層は、窒化シリコン(SiN)、二酸化シリコン(SiO2)、および酸化アルミニウム(Al23)からなる群より選択された材料を含む、方法。
  3. 請求項1に記載の方法であって、
    前記キャップ層は、チタンおよびタンタルのいずれも含まない、方法。
  4. 請求項1に記載の方法であって、さらに、
    前記キャップ層を堆積させる前に前記HfO2層を窒化することを含む、方法。
  5. 請求項に記載の方法であって、
    前記上部電極は、チタン、タンタル、およびタングステンからなる群より選択された材料を含む、方法。
  6. 請求項1に記載の方法であって、
    前記キャップ層を選択的にエッチングすることは、希フッ酸溶液を用いて前記キャップ層をウェットエッチングすることを含む、方法。
  7. 請求項1に記載の方法であって、
    前記キャップ層を選択的にエッチングすることは、フルオロカーボンプラズマおよびハロゲンプラズマの少なくともいずれかによって生成されたプラズマを用いて前記キャップ層をドライプラズマエッチングすることを含む、方法。
  8. 請求項1に記載の方法であって、
    前記HfO2層および前記キャップ層をアニールして強誘電ハフニウムHfO2を形成することは、500℃~1000℃の温度で急速熱アニール処理を実施することを含む、方法。
  9. 請求項1に記載の方法であって、さらに、
    前記基板上に底部電極を堆積させることを含み、前記HfO2層を堆積させることは、前記底部電極上に前記HfO2層を堆積させることを含む、方法。
  10. 請求項1に記載の方法であって、さらに、
    前記キャップ層を堆積させる前に前記HfO2層のプラズマ処理を実施することを含む、方法。
  11. 請求項に記載の方法であって、
    前記HfO2層を補修することは、前記HfO2層の上に追加のHfO2材料を堆積させることを含む、方法。
  12. 処理チャンバにおいて基板上に強誘電酸化ハフニウム(HfO2)を形成するように構成されたシステムであって、
    前記処理チャンバにプロセスガスを供給するように構成されたガス配送システムと、
    前記処理チャンバ内でプラズマを選択的に生成するように構成された無線周波数(RF)生成システムと、
    コントローラであって、前記ガス配送システムおよび前記RF生成システムを制御することによって、
    前記基板上にHfO2層を堆積させ、
    前記HfO2層上にキャップ層を堆積させ、
    前記HfO2層および前記キャップ層をアニールして強誘電ハフニウムHfO2を形成し、
    前記HfO2層を除去することなく前記キャップ層を除去するために前記キャップ層を選択的にエッチングするように構成されたコントローラと、
    を備え
    前記コントローラは、さらに、
    前記キャップ層を選択的にエッチングすることに続いて前記HfO 2 層を補修し、
    前記HfO 2 層を補修することに続いて前記HfO 2 層の上に上部電極を堆積させるように構成されている、システム。
  13. 請求項1に記載のシステムであって、
    前記キャップ層は、窒化シリコン(SiN)、二酸化シリコン(SiO2)、および酸化アルミニウム(Al23)からなる群より選択された材料を含む、システム。
  14. 請求項1に記載のシステムであって、
    前記キャップ層は、チタンおよびタンタルのいずれも含まない、システム。
  15. 請求項1に記載のシステムであって、
    前記コントローラは、さらに、前記キャップ層を堆積させる前に前記HfO2層を窒化するように構成されている、システム。
  16. 請求項1に記載のシステムであって、
    前記上部電極は、チタン、タンタル、およびタングステンからなる群より選択された材料を含む、システム。
  17. 請求項1に記載のシステムであって、
    前記キャップ層を選択的にエッチングすることは、希フッ酸溶液を用いて前記キャップ層をウェットエッチングすることを含む、システム。
  18. 請求項1に記載のシステムであって、
    前記キャップ層を選択的にエッチングすることは、フルオロカーボンプラズマおよびハロゲンプラズマの少なくともいずれかによって生成されたプラズマを用いて前記キャップ層をドライプラズマエッチングすることを含む、システム。
  19. 請求項1に記載のシステムであって、
    前記HfO2層および前記キャップ層をアニールして強誘電ハフニウムHfO2を形成することは、500℃~1000℃の温度で急速熱アニール処理を実施することを含む、システム。
  20. 請求項1に記載のシステムであって、
    前記コントローラは、さらに、前記基板上に底部電極を堆積させるように構成され、前記HfO2層を堆積させることは、前記底部電極に前記HfO2層を堆積させることを含む、システム。
  21. 請求項1に記載のシステムであって、
    前記コントローラは、さらに、前記キャップ層を堆積させる前に前記HfO2層のプラズマ処理を実施するように構成されている、システム。
  22. 請求項12に記載のシステムであって、
    前記HfO2層を補修することは、前記HfO2層の上に追加のHfO2材料を堆積させることを含む、システム。
JP2020553591A 2018-04-02 2019-03-26 酸化ハフニウム系強誘電材料のためのキャップ層 Active JP7354138B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862651466P 2018-04-02 2018-04-02
US62/651,466 2018-04-02
PCT/US2019/023992 WO2019195025A1 (en) 2018-04-02 2019-03-26 Capping layer for a hafnium oxide-based ferroelectric material

Publications (2)

Publication Number Publication Date
JP2021520628A JP2021520628A (ja) 2021-08-19
JP7354138B2 true JP7354138B2 (ja) 2023-10-02

Family

ID=68101506

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020553591A Active JP7354138B2 (ja) 2018-04-02 2019-03-26 酸化ハフニウム系強誘電材料のためのキャップ層

Country Status (5)

Country Link
US (1) US11923189B2 (ja)
JP (1) JP7354138B2 (ja)
KR (1) KR20200128449A (ja)
CN (1) CN111937118A (ja)
WO (1) WO2019195025A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110890272A (zh) * 2019-11-22 2020-03-17 中国科学院微电子研究所 一种氧化铪基铁电薄膜的制备方法
CN112447508A (zh) * 2020-11-24 2021-03-05 湘潭大学 一种通过等离子体技术增强氧化铪(HfO2)基铁电薄膜铁电性能的方法
US20230360932A1 (en) * 2022-05-03 2023-11-09 Samsung Electronics Co., Ltd. Method of fabricating an electrode structure and apparatus for fabricating the electrode structure

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004356558A (ja) 2003-05-30 2004-12-16 Toshio Goto コーティング装置およびコーティング方法
US20060194423A1 (en) 2005-02-25 2006-08-31 Sangwoo Lim Method of making a nitrided gate dielectric
JP2007318018A (ja) 2006-05-29 2007-12-06 Toshiba Corp 強誘電体メモリセル及び強誘電体メモリセルの製造方法
WO2011101931A1 (ja) 2010-02-17 2011-08-25 パナソニック株式会社 半導体装置及びその製造方法
US20140070289A1 (en) 2012-09-10 2014-03-13 Kabushiki Kaisha Toshiba Ferroelectric memory and manufacturing method thereof
US20150340372A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Polar, chiral, and non-centro-symmetric ferroelectric materials, memory cells including such materials, and related devices and methods

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6785120B1 (en) * 2003-07-03 2004-08-31 Micron Technology, Inc. Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and capacitor constructions comprising hafnium oxide
US7008833B2 (en) * 2004-01-12 2006-03-07 Sharp Laboratories Of America, Inc. In2O3thin film resistivity control by doping metal oxide insulator for MFMox device applications
US7709402B2 (en) * 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
KR20080010623A (ko) * 2006-07-27 2008-01-31 삼성전자주식회사 비휘발성 반도체 메모리 소자 및 그 제조방법
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US8724369B2 (en) * 2010-06-18 2014-05-13 Sandisk 3D Llc Composition of memory cell with resistance-switching layers
DE102011076695B4 (de) * 2011-05-30 2013-05-08 Globalfoundries Inc. Transistoren mit eingebettetem verformungsinduzierenden Material, das in durch einen Oxidationsätzprozess erzeugten Aussparungen ausgebildet ist
US8846443B2 (en) * 2011-08-05 2014-09-30 Intermolecular, Inc. Atomic layer deposition of metal oxides for memory applications
US9443736B2 (en) * 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US9231206B2 (en) 2013-09-13 2016-01-05 Micron Technology, Inc. Methods of forming a ferroelectric memory cell
US9269785B2 (en) * 2014-01-27 2016-02-23 Globalfoundries Inc. Semiconductor device with ferroelectric hafnium oxide and method for forming semiconductor device
US9647207B2 (en) * 2015-01-26 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Resistive random access memory (RRAM) structure
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US10192751B2 (en) * 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US9793397B1 (en) * 2016-09-23 2017-10-17 International Business Machines Corporation Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
US11239237B2 (en) * 2018-01-25 2022-02-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004356558A (ja) 2003-05-30 2004-12-16 Toshio Goto コーティング装置およびコーティング方法
US20060194423A1 (en) 2005-02-25 2006-08-31 Sangwoo Lim Method of making a nitrided gate dielectric
JP2008532282A (ja) 2005-02-25 2008-08-14 フリースケール セミコンダクター インコーポレイテッド 窒化ゲート誘電体を形成する方法
JP2007318018A (ja) 2006-05-29 2007-12-06 Toshiba Corp 強誘電体メモリセル及び強誘電体メモリセルの製造方法
WO2011101931A1 (ja) 2010-02-17 2011-08-25 パナソニック株式会社 半導体装置及びその製造方法
US20120299113A1 (en) 2010-02-17 2012-11-29 Panasonic Corporation Semiconductor device and method for fabricating the same
US20140070289A1 (en) 2012-09-10 2014-03-13 Kabushiki Kaisha Toshiba Ferroelectric memory and manufacturing method thereof
JP2014053568A (ja) 2012-09-10 2014-03-20 Toshiba Corp 強誘電体メモリ及びその製造方法
US20150340372A1 (en) 2014-05-20 2015-11-26 Micron Technology, Inc. Polar, chiral, and non-centro-symmetric ferroelectric materials, memory cells including such materials, and related devices and methods
JP2017518639A (ja) 2014-05-20 2017-07-06 マイクロン テクノロジー, インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法。

Also Published As

Publication number Publication date
US20210020433A1 (en) 2021-01-21
JP2021520628A (ja) 2021-08-19
KR20200128449A (ko) 2020-11-12
WO2019195025A1 (en) 2019-10-10
CN111937118A (zh) 2020-11-13
US11923189B2 (en) 2024-03-05

Similar Documents

Publication Publication Date Title
JP7194171B2 (ja) プラズマ処理および/または熱処理を使用して、酸化ハフニウムに基づく強誘電体材料の性能を向上させるための方法
JP7241705B2 (ja) 半導体製造における金属ドープ炭素系ハードマスクの除去
TWI637426B (zh) 單一平台多循環之間隔物沉積與蝕刻
JP7354138B2 (ja) 酸化ハフニウム系強誘電材料のためのキャップ層
TW201826386A (zh) 用於高深寬比結構之移除方法
US9627608B2 (en) Dielectric repair for emerging memory devices
TWI815891B (zh) 薄膜及沉積薄膜的方法
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
KR101713336B1 (ko) 라이너의 제거 처리 방법
JP7307745B2 (ja) 窒化ハフニウム層による酸化ハフニウムの強誘電特性の変更
JP7471492B2 (ja) 炭化タングステン膜の接着性及び欠陥を改善する技法
TW201907480A (zh) 形成鈦矽化物區域之方法
TW201820386A (zh) 鍺與矽化鍺奈米線裝置之形成方法
KR102658746B1 (ko) 플라즈마 및/또는 열 처리를 사용하여 산화하프늄 기반 강유전체 재료의 성능을 개선하기 위한 방법들
US20190249295A1 (en) Ammonia pre-treatment to promote amorphous silicon adhesion to aluminum nitride
US20200098562A1 (en) Dual frequency silane-based silicon dioxide deposition to minimize film instability

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220316

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230316

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230328

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230905

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230920

R150 Certificate of patent or registration of utility model

Ref document number: 7354138

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150