CN111033686B - 用等离子体和/或热处理提高氧化铪基铁电材料性能的方法 - Google Patents

用等离子体和/或热处理提高氧化铪基铁电材料性能的方法 Download PDF

Info

Publication number
CN111033686B
CN111033686B CN201880053580.7A CN201880053580A CN111033686B CN 111033686 B CN111033686 B CN 111033686B CN 201880053580 A CN201880053580 A CN 201880053580A CN 111033686 B CN111033686 B CN 111033686B
Authority
CN
China
Prior art keywords
hfo
layer
substrate
plasma treatment
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880053580.7A
Other languages
English (en)
Other versions
CN111033686A (zh
Inventor
衡石·亚历山大·尹
朱忠伟
崔焕成
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111033686A publication Critical patent/CN111033686A/zh
Application granted granted Critical
Publication of CN111033686B publication Critical patent/CN111033686B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

一种在衬底处理系统中形成铁电氧化铪(HfO2)的方法包括:在所述衬底处理系统的处理室内布置衬底;在所述衬底上沉积HfO2层;对所述HfO2层进行等离子体处理;以及对所述HfO2层进行退火以形成铁电氧化铪(HfO2)。

Description

用等离子体和/或热处理提高氧化铪基铁电材料性能的方法
相关申请的交叉引用
本申请要求于2018年8月2日提交的美国发明申请No.16/052,963的优先权,并且还要求于2017年12月1日提交的美国临时申请No.62/593,530和于2017年8月18日提交的美国临时申请No.62/547,360的利益,上述申请的全部公开内容通过引用并入本文。
技术领域
本公开涉及用于处理衬底的方法,并且更具体地涉及用于使用等离子体和/或热处理来改善包含氧化铪基的铁电材料的器件中的性能的方法。
背景技术
本文所提供的背景描述是为了总体上呈现本公开的背景。当前所冠名的发明人的工作,在该背景部分以及本说明书的在申请时可能没有资格作为现有技术的方面中所描述的程度上,既不明确地也不隐含地承认为本公开的现有技术。
在氧化铪(HfO2)基的材料中铁电性能的发现振兴了对铁电存储器(FeRAM)的研究。常规的铁电材料,例如锆钛酸铅(PZT)对于厚度小于50纳米(nm)没有足够的开关窗。因此,PZT不能用于特征尺寸小于50nm(例如,小于50nm的膜)的器件。
由于高的矫顽场,厚度低至5nm的HfO2具有出色的铁电开关滞后。HfO2也是3D存储器结构的理想选择。HfO2已在CMOS技术中广泛用作栅极电介质。在这些应用中,使用共形原子层沉积(ALD)来沉积HfO2。因此,HfO2可能适合使用当前的3D NAND集成方案集成到3DFeRAM中。
发明内容
一种在衬底处理系统中形成铁电氧化铪(HfO2)的方法包括:在所述衬底处理系统的处理室内布置衬底;在所述衬底上沉积HfO2层;对所述HfO2层进行等离子体处理;以及对所述HfO2层进行退火以形成铁电氧化铪(HfO2)。
在其他特征中,使用原子层沉积(ALD)来沉积所述HfO2层。所述方法进一步包含对所述HfO2层进行掺杂。对所述HfO2层进行掺杂包括用硅、铝、氧化钇、镧和锆中的至少一种对所述HfO2层进行掺杂。对所述HfO2层进行掺杂包括用0至60mol%之间的掺杂剂物质对所述HfO2层进行掺杂。沉积所述HfO2层包括交替循环以下操作:将HfO2沉积到所述衬底上和对所沉积的所述HfO2进行掺杂。所述HfO2层的厚度介于6nm和12nm之间。该方法还包括交替循环以下操作:沉积所述HfO2层和对所述HfO2层进行所述等离子体处理。
在其他特征中,执行所述等离子体处理包括使用至少一种等离子体气体物质来执行所述等离子体处理。所述至少一种等离子体气体物质包括分子氮(N2)、氨气(NH3)、分子氧(O2),臭氧(O3)、氩(Ar)、以及氩和分子氢(Ar/H2)中的至少一种。执行所述等离子体处理包括使用分子氮(N2)执行所述等离子体处理,并且使用N2执行所述等离子体处理导致HfOxNy形成在所述HfO2层的表面上。
在其他特征中,执行所述等离子体处理包括执行所述等离子体处理持续介于15秒和60秒之间。执行所述等离子体处理包括以介于500瓦和1200瓦之间的射频功率执行所述等离子体处理。所述RF功率在介于1MHz和15MHz之间下提供。对所述HfO2层进行退火包括在介于500℃和1100℃之间的温度下对所述HfO2层进行退火。对所述HfO2层进行退火包括在介于800℃和1000℃之间的温度下对所述HfO2层进行退火。在所述退火之前在所述HfO2层上沉积顶部电极。所述顶部电极包括氮化钽、氮化钛和钨中的至少一种。在所述衬底上沉积所述HfO2层包括在所述衬底上形成的下伏层和底部电极中的一者上沉积所述HfO2层。
一种在衬底处理系统中处理包含铁电氧化铪(HfO2)的衬底的方法包括:在所述衬底处理系统的处理室内布置包括绝缘体层的衬底;对所述绝缘体层执行热处理和等离子体处理中的至少一者;在所述绝缘体层上沉积HfO2层;以及对所述HfO2层进行退火以形成铁电氧化铪(HfO2)。
在其他特征中,所述绝缘体层包含二氧化硅(SiO2)和氧氮化硅(SiON)中的一种。执行所述热处理和所述等离子体处理中的至少一者包括顺序地执行所述热处理和所述等离子体处理。执行所述热处理和所述等离子体处理中的所述至少一者包括在1至30分钟内将所述衬底的温度升高至介于200℃和600℃之间。执行所述热处理和所述等离子体处理中的至少一者包括向所述处理室提供N2、N2/H2、NH3、O2和O3中的至少一种。
在其他特征中,所述方法还包括对所述HfO2层进行等离子体处理。使用原子层沉积(ALD)来沉积所述HfO2层。所述方法进一步包含对所述HfO2层进行掺杂。
一种在衬底处理系统中处理包含铁电氧化铪(HfO2)的衬底的方法包括:在所述衬底处理系统的处理室内布置包括绝缘体层的衬底;在所述绝缘体层上沉积至少一个第一HfO2层;对所述至少一个第一HfO2层进行热处理和等离子体处理中的至少一者;在所述至少一个第一HfO2层上沉积至少一个第二HfO2层;以及使所述至少一个第二HfO2层和所述至少一个第一HfO2层进行退火以形成铁电氧化铪(HfO2)层。
在其他特征中,所述绝缘体层包含二氧化硅(SiO2)和氧氮化硅(SiON)中的一种。执行所述热处理和所述等离子体处理中的至少一者包括顺序地执行所述热处理和所述等离子体处理。执行所述热处理和所述等离子体处理中的所述至少一者包括在1至30分钟内将所述衬底的温度升高至介于200℃和600℃之间。执行所述热处理和所述等离子体处理中的至少一者包括向所述处理室提供N2、N2/H2、NH3、O2和O3中的至少一种。
在其他特征中,所述至少一个第一HfO2层是根据大于用于沉积所述至少一个第二HfO2层的投配时间的投配时间来沉积的。所述方法还包括在沉积所述至少一个第一HfO2层之前,对所述绝缘体层执行热处理和等离子体处理中的至少一者。所述至少一个第一HfO2层和所述至少一个第二HfO2层是使用原子层沉积(ALD)沉积的。
本发明的进一步的适用范围将根据具体实施方式、权利要求和附图而变得显而易见。详细的描述和具体实施例意在仅用于说明的目的,而并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本发明,其中:
图1A和1B是根据本公开的包括氮化的HfO2的衬底的侧视截面图;
图2是根据本公开的用于减小HfO2基的铁磁性材料中的泄漏电流的方法的示例的流程图;
图3是根据本公开的用于沉积HfO2和对HfO2进行掺杂的方法的示例的流程图;
图4是根据本公开的用于沉积、可选地对HfO2进行掺杂和氮化HfO2的衬底处理室的示例的功能框图;
图5是根据本公开的包括包含金属层、铁磁层、绝缘体层和半导体层的堆叠件的衬底的侧视截面图;
图6是用于在图5的衬底中沉积HfO2、可选地对HfO2进行掺杂和氮化HfO2的方法的示例的流程图;
图7是根据本公开的用于沉积、可选地掺杂和等离子体处理衬底的另一种方法的示例的流程图;
图8是根据本公开的用于沉积、可选地掺杂和等离子体处理衬底的另一种方法的示例的流程图;
图9是根据本公开的用于沉积、掺杂和等离子体处理衬底的方法的示例的流程图;
图10是使用变压器耦合等离子体进行等离子体处理的衬底处理系统的功能框图;
图11A、图11B、图11C、图11D、图11E和图11F是根据本公开的包括对绝缘体层进行预处理的示例性工艺的侧截面图;
图12A、图12B、图12C、图12D、图12E和图12F是根据本公开的包括处理一个或多个HfO2层的示例性工艺的侧截面图;以及
图13是根据本公开的用于预处理绝缘体层和/或处理一个或多个HfO2层的方法的示例的流程图。
在附图中,附图标记可以重新使用以标识类似和/或相同的元件。
具体实施方式
然而,HfO2的热稳定性是FeRAM应用中商业化的障碍。尽管600–650℃的温度足以将沉积的非晶HfO2结晶成铁电相,但许多集成方案要求的热预算至少为1000℃。较高的工艺温度会通过增加泄漏电流和/或使器件短路而使基于HfO2的FeRAM退化。
高温退火后的泄漏源包括在顶部电极/HfO2界面处产生缺陷。泄漏电流的另一个来源包括HfO2的膜破裂。随着HfO2的破裂,来自顶部和底部电极(通常为TiN)的原子可以自由地扩散到HfO2中,最终导致器件故障。
根据本公开的方法减少了基于HfO2的铁电材料中的泄漏电流。除了下面进一步描述的其他步骤之外,根据本公开的方法包括在伏层上沉积经掺杂或未经掺杂的HfO2并使用分子氮(N2)、氨(NH3)、分子氧(O2)、臭氧(O3)、氩气(Ar)和/或氩气和分子氢(Ar/H2)等离子体对HfO2膜进行等离子体处理。然后将诸如氮化钛(TiN)、氮化钽(TaN)、铱(Ir)或钨(W)之类的顶部电极沉积在处理过的HfO2膜上。使用快速热退火在500℃至1100℃的预定温度下对衬底进行退火。类似的方法可用于包含金属、铁磁、绝缘体和半导体(MFIS)层的堆叠件。
等离子处理用于改善基于HfO2的铁电材料的热稳定性。等离子体处理使HfO2膜致密化,该HfO2膜在随后的高温退火过程中收缩(较少的体积)和破裂较少。在图2、3和6,等离子体处理包括氮化。在图7-9中,公开了使用Ar、Ar/H2、O2、O3和/或NH3的其他等离子体处理。
例如,使用N2等离子体在HfO2的表面形成HfOxNy。HfO2表面的氮化减少了后续处理步骤中顶部电极/HfO2界面处缺陷的产生,从而减少了泄漏电流。
在其他示例中,在HfO2的ALD的循环之前和/或之间,用等离子体和/或热处理工艺对衬底进行预处理进一步减少了泄漏并加宽了器件的存储窗。
现在参考图1A和1B,示出了根据本公开的包括基于氧化铪(HfO2)的铁电材料的器件的示例。在图1A中,衬底10包括一个或多个下伏层12和布置在下伏层12上的底部电极14。在一些示例中,底部电极14包括氮化钛(TiN)、氮化钽(TaN)、铱(Ir)或钨(W),但可以使用其他电极材料。在一些示例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积底部电极14。
沉积HfO2层16。在一些示例中,沉积的HfO2层16的厚度在5nm至12nm的范围内。在一些示例中,使用选自由硅(Si)、铝(Al)、钇(Yt)、锆(Zr)和/或镧(La)组成的组的掺杂剂物质来对HfO2层16进行掺杂。在一些示例中,使用原子层沉积(ALD)来沉积HfO2层16,但是可以使用其他工艺。例如,可以使用热ALD或等离子体增强的ALD。在一些示例中,HfO2层16是未经掺杂的。在其他示例中,HfO2层16被掺杂到预定的掺杂水平,该水平为大于0mol%至小于或等于60mol%的所选掺杂剂物质。在一些示例中,HfO2层16被掺杂到预定的掺杂水平,该水平为3mol%至5mol%的所选掺杂剂物质。
在一些示例中,执行T个ALD超循环以沉积经掺杂的HfO2层,其中T是大于1的整数。每个ALD超循环包括N个ALD HfO2循环和M个掺杂剂物质的ALD循环,其中T、N和M是大于零的整数。每个超循环中N个ALD HfO2循环和M个掺杂剂物质的ALD循环可以任何顺序执行。在一些示例中,在T个超循环中的两个或多个之间和/或在T个超循环之后进行等离子体处理。
对HfO2层16进行等离子体处理。例如,HfO2层16被包括氮气物质的等离子体氮化。例如,可以使用分子氮(N2)气体。在一些示例中,在从15秒到60秒的预定时间段内进行氮化。在一些示例中,RF功率可以在从100W到15kW的范围内。在一些示例中,等离子体功率在从500W到1200W的范围内。在一些示例中,RF频率可以在从1MHz到15MHz的范围内。在一些示例中,RF频率是2.0MHz和/或13.56MHz。
在氮化之后,顶部电极18沉积在HfO2层16上。在一些示例中,顶部电极18包括TiN、TaN、Ir或W,但是可以使用其他电极材料。在一些示例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积顶部电极18。
在沉积顶部电极18之后,将衬底10在范围为500℃至1100℃的预定温度下退火。在其他示例中,退火温度在800℃至1000℃的范围内。退火之后,对顶部电极18进行图案化。例如,可以使用掩模20。使用湿蚀刻或干蚀刻来蚀刻顶部电极。在一些示例中,在蚀刻之后可选地去除掩模20。在其他示例中,不去除掩模。
在图1B中,示出了该设备的具体示例。衬底30包括硅(Si)层32。由TiN制成的底部电极34布置在Si层32上。在底部电极34上沉积经Si掺杂的HfO2层36。经Si掺杂的HfO2层36使用本文所述的等离子体处理中的一种进行处理,然后将由TiN制成的顶部电极38沉积在经Si掺杂的HfO2层36上。衬底30在预定温度下退火。使用诸如铂(Pt)之类的惰性金属层40来图案化顶部电极38,并使用湿法或干法蚀刻来蚀刻顶部电极38。
现在参照图2,方法60包括提供衬底。在64处,在衬底上沉积底部电极层(包括TiN、TaN、Ir或W)。在66处,将经掺杂或未经掺杂的HfO2层沉积在底部电极层上。在68处,使用等离子体和氮物质将HfO2层氮化。在72处,顶部电极层(包括TiN、TaN、Ir或W)沉积在氮化的HfO2层上。在74处,使用快速热退火将衬底处理到500℃至1100℃范围内的温度。在一些示例中,顶部电极在78处被图案化并且在82处被蚀刻。
现在参考图3,示出了使用T个ALD超循环来沉积经掺杂的HfO2层的方法90。在92处,执行N个ALD HfO2循环,并且执行M个掺杂剂物质的ALD循环(其中T、N和M是大于零的整数)。可以理解,在给定的超循环期间,可以以任何顺序执行N个ALD HfO2循环和掺杂剂物质的M个ALD循环。在96处,如果需要执行额外的超级循环,则该方法返回至92,或者如果完成T个超级循环,则该方法结束。
现在参考图4,示出了示例性衬底处理系统100,该衬底处理系统100用于使用原子层沉积(ALD)来沉积HfO2层以及可选地对HfO2层进行掺杂并且氮化HfO2层。虽然在该示例中,在同一处理室中进行HfO2层的沉积和掺杂以及随后的氮化处理,但是可以使用单独的处理室。例如,氮化还可以在变压器耦合等离子体(TCP)室(例如,如图10所示)、等离子体增强化学气相沉积(PECVD)室、高压CVD(HPCVD)室和/或使用远程等离子体源的室中进行。
衬底处理系统100包括处理室102,处理室102包围衬底处理系统100的其他部件并且容纳RF等离子体。衬底处理系统100包括上电极104和衬底支撑件,例如静电卡盘(ESC)106。在操作期间,衬底108布置在ESC 106上。
仅举例而言,上电极104可以包括引入和分配工艺气体的喷头109。喷头109可以包括杆部分,杆部分包括连接到处理室102的顶表面的一端。基部大致为圆柱形并且从杆部分的相对端径向向外延伸,该相对端在与处理室102的顶表面间隔开的位置处。喷头的基部的面向衬底的表面或面板包括工艺气体或吹扫气体流通过的多个孔。替代地,上电极104可以包括导电板,并且可以以另一种方式引入工艺气体。
ESC 106包括用作下电极的导电基板110。基板110支撑可以对应于陶瓷多区域加热板的加热板112。热阻层114可以布置在加热板112和基板110之间。基板110可以包括一个或多个用于使冷却剂流过基板110的冷却剂通道116。
RF发生系统120产生RF电压,并将RF电压输出到上电极104和下电极(例如,ESC106的基板110)之一。上电极104和基板110中的另一个可以是DC接地,AC接地或浮置。仅举例而言,RF产生系统120可以包括RF电压发生器122,其产生由匹配和分配网络124馈送到上电极104或基板110的RF电压。在其他示例中,可以感应地或远程地产生等离子体。
气体输送系统130包括一个或多个气体源132-1、132-2……和132-N(统称为气体源132),其中N是大于零的整数。气体源供应一种或多种沉积前体及其混合物。气体前体可以包括用于HfO2层和/或其他层的前体气体。气体源还可以供应包含用于等离子体氮化的氮物质的一种和多种吹扫气体和/或用于其他等离子体处理的其他气体物质(例如,Ar、Ar/H2、NH3、O2、O3等)。也可以使用汽化的前体。气体源132由阀134-1、134-2……和134-N(统称为阀134)和质量流量控制器136-1、136-2……和136-N(统称为质量流量控制器136)连接到歧管138。歧管138的输出被馈送到处理室102。仅举例而言,歧管138的输出被馈送到喷头109。在一些示例中,可以在质量流量控制器136和歧管138之间提供可选的臭氧发生器140。在一些示例中,衬底处理系统100可以包括液体前体输送系统141。液体前体输送系统141可以如图所示并入气体输送系统130内,或者可以在气体输送系统130外部。液体前体输送系统141被配置为经由鼓泡器、直接液体注入、蒸气抽吸等提供在室温下为液体和/或固体的前体。
温度控制器142可以连接到布置在加热板112中的多个热控制元件(TCE)144。例如,TCE 144可以包括但不限于与在多区域加热板中每个区域相对应的各个大型TCE和/或在多区域加热板的多个区域上布置的微型TCE阵列。温度控制器142可以用于控制多个TCE144以控制ESC106和衬底108的温度。
温度控制器142可以与冷却剂组件146连通以控制流过通道116的冷却剂流。例如,冷却剂组件146可以包括冷却剂泵和贮存器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却ESC 106。
阀150和泵152可用于从处理室102排空反应物。系统控制器160可用于控制衬底处理系统100的部件。机械手170可用于将衬底输送到ESC106上,和从ESC106去除衬底。例如,机械手170可以在ESC106和加载锁172之间传送衬底。虽然温度控制器142示出为单独的控制器,但是温度控制器142可以在系统控制器160内实现。温度控制器142可以进一步被配置为根据本公开的原理实现一个或多个模型以估计ESC 106的温度。
通常,在高等离子功率下,更多的氮被掺入HfO2表面,同时减少了膜破裂。但是,泄漏电流可能不严格遵循氮的掺入量。例如,用1000W等离子体处理的一个样品可能比仅用500W处理的另一个样品泄漏更多。更高的等离子体功率也可能损坏HfO2膜结构,从而增加泄漏电流。另外,由于HfN不是铁电体,因此等离子体氮化工艺可能会减少残留极化(Pr)。
相反,延长500W的等离子体时间会降低1000℃/1s退火后的泄漏电流,而15s的时间段可能不足以减轻泄漏电流。例如,HfO2通常在60s等离子体后被过氮化,而泄漏电流低至10-8A。但是,当等离子体时间大于60s时,HfO2的铁电性能可能会严重降低(例如Pr=7μC/cm2)。
现在参考图5,HfO2的氮化和可选的掺杂也可用于包括金属、铁磁、绝缘体和半导体(MFIS)层的堆叠件。衬底200包括一个或多个下伏层,例如可以包括一个或多个扩散区域214的半导体层210。绝缘体层220沉积在半导体层210上。在一些示例中,绝缘体层220包括二氧化硅(SiO2)或氮化硅(SiN)。包括经掺杂或未经掺杂的HfO2层224(如上所述)的铁磁层沉积在绝缘体层220上。使用所选的等离子体处理来处理经掺杂或未经掺杂的HfO2层224。在经掺杂或未经掺杂的HfO2层224上沉积金属层228。在一些示例中,金属层228包括TiN、TaN、Ir或W。在沉积金属层228之后,使用在500℃至1100℃范围内的温度下的快速热退火对衬底进行退火。
现在参考图6,显示了一种用于沉积、可选地掺杂和氮化在图5的堆叠件中的HfO2的方法250。在252处,提供半导体衬底。在254处,在半导体衬底上沉积绝缘体层。在一些示例中,绝缘体层包括二氧化硅(SiO2)或氮化硅(SiN)。在256处,将经掺杂或未经掺杂的HfO2层沉积在绝缘体层上。在268处,使用包括氮物质的等离子体将HfO2层氮化。在272,在HfO2层上沉积金属层。在一些示例中,金属层包括TiN、TaN、Ir或W。在274处,在500℃至1100℃范围内的温度下对衬底执行快速热退火。在一些示例中,金属层在278被图案化并且在282被蚀刻。
在一些示例中,绝缘体层、经掺杂或未经掺杂的HfO2层以及氮化在相同的处理室中或使用不同的处理室进行。绝缘体层,经掺杂或未经掺杂的HfO2层和/或金属层可以使用上述任何工艺来沉积。
现在参考图7,可以在对衬底的等离子体处理期间使用其他气体物质以减小泄漏电流。更特别地,可以使用包含氨(NH3)、分子氧(O2)、氩(Ar)或氩与分子氢的混合物(Ar/H2)的气体物质。在图7中,方法330包括提供衬底。在334处,将底部电极层(包括TiN、TaN、Ir或W)沉积在衬底上。在336处,将经掺杂或未经掺杂的HfO2层沉积在底部电极层上。在338处,使用具有选自N2、NH3、O2、O3、Ar和/或Ar/H2的等离子体气体物质的等离子体对HfO2层进行处理。在340,将顶部电极层(包括TiN、TaN、Ir或W)沉积在氮化的HfO2层上。在342,使用快速热退火将衬底处理到500℃至1100℃范围内的温度。顶部电极在344处被图案化并在346处被蚀刻。
现在参考图8,显示了用于沉积、可选地掺杂和等离子体处理在图5的堆叠件中的HfO2的方法350。在352,提供半导体衬底。在354处,在半导体衬底上沉积绝缘体层。在一些示例中,绝缘体层包括二氧化硅(SiO2)或氮化硅(SiN)。在356处,将经掺杂或未经掺杂的HfO2层沉积在绝缘体层上。在358处,使用具有选自N2、NH3、Ar、O3、O2和/或Ar/H2的等离子体气体物质的等离子体对HfO2层进行处理。在360处,在HfO2层上沉积金属层。在一些示例中,金属层包括TiN、TaN、Ir或W。在362处,在500℃至1100℃范围内的温度下对衬底执行快速热退火。在一些示例中,金属层在364处被图案化并且在366处被蚀刻。
在一些示例中,绝缘体层、经掺杂或未经掺杂的HfO2层以及等离子体处理在相同的处理室中或使用不同的处理室进行。绝缘体层、经掺杂或未经掺杂的HfO2层和/或金属层可以使用上述任何工艺来沉积。
现在参考图9,显示了一种方法400,该方法400使用具有插入的等离子体处理的T个ALD超循环来沉积经掺杂的HfO2层。在402处,执行N个ALD HfO2循环,并且执行掺杂剂物质的M个ALD循环,其中T、N和M是大于零的整数。可以理解,在给定的超循环期间,可以以任何顺序执行N个ALD HfO2循环和掺杂剂物质的M个ALD循环。在404,使用具有选自N2、NH3、Ar、O2、O3和/或Ar/H2的等离子体气体物质的等离子体对HfO2层进行处理。在406,如果需要执行额外的超级循环,则该方法返回到402,或者如果完成T个超级循环,则该方法结束。
现在参考图10,示出了根据本公开的用于执行TCP等离子体处理的衬底处理系统510的示例。衬底处理系统510包括线圈驱动电路511。在一些示例中,线圈驱动电路511包括RF源512和调谐电路513。调谐电路513可以直接连接到一个或多个感应线圈516。替代地,调谐电路513可以通过可选的换向电路515连接到一个或多个线圈516。调谐电路513将RF源512的输出调谐到期望的频率和/或期望的相位,匹配线圈516的阻抗并且分配在TCP线圈516之间的功率。换向电路515用于选择性地切换通过一个或多个TCP线圈516的电流的极性。在Sato等人的、于2015年3月30日提交的、名称为"Systems And Methods ForReversing RF Current Polarity At One Output Of A Multiple Output RF MatchingNetwork"的共同转让的美国专利申请No.14/673,174中示出和描述了换向电路515的示例。
在一些示例中,充气室520可以布置在TCP线圈516和介电窗524之间,以利用热和/或冷空气流来控制介电窗的温度。介电窗524沿处理室528的一侧布置。处理室528还包括衬底支撑件(或基座)532。衬底支撑件532可包括静电卡盘(ESC)或机械卡盘或其它类型的卡盘。将工艺气体供应到处理室528,并在处理室528内部产生等离子体540。等离子体540蚀刻衬底534的暴露表面。在操作期间,RF源550和偏置匹配电路552可用于使衬底支撑件532偏置以控制离子能量。
气体输送系统556可以用于向处理室528供应工艺气体混合物。气体输送系统556可以包括工艺气体和惰性气体源557、诸如阀和质量流量控制器之类的气体计量系统558、和歧管559。气体输送系统560可以用于经由阀561将气体562输送到充气室520。气体可以包括用于冷却TCP线圈516和介电窗524的冷却气体(空气)。加热器/冷却器564可以用于将衬底支撑件532加热/冷却到预定温度。排放系统565包括阀566和泵567,以通过清扫或排气从处理室528中去除反应物。
控制器554可以用于控制蚀刻工艺。控制器554监测系统参数并控制气体混合物的输送,等离子体的激励、维持和熄灭,反应物的去除,制冷气体的供应等。另外,如下面详细描述的,控制器554可以控制线圈驱动电路511、RF源550和偏置匹配电路552等的各个方面。
实施例
在4.2mol%的Si掺杂下测试了TCP室中HfO2的等离子体处理。沉积态的HfO2在1000℃/1秒退火后的泄漏电流为10-7A。在相同的1000℃/1秒退火条件下,用N2进行等离子体处理将泄漏电流降低了一个数量级,降至10-8A。还测试了使用NH3、Ar和Ar/H2气体进行的其他等离子体处理。NH3和Ar/H2等离子体处理在1000℃/1秒退火后将泄漏电流降低了50%。与未进行等离子体处理的样品相比,在较低的退火温度(例如800℃)下,所有等离子体处理(N2、NH3、Ar和Ar/H2)均改善了泄漏电流。等离子体氮化会略微降低铁电HfO2的残余极化(Pr)。然而,Pr值(15-17μC/cm2)仍符合15μC/cm2的目标规格。使用NH3和Ar/H2等离子体可获得相同的结果。
还用相同的等离子体处理研究了具有更高掺杂(例如HfO2中的5.7mol%的Si)的样品。由于初始循环中的唤醒效应,较高的掺杂浓度不是最佳的。N2等离子体改善了具有5.7mol%Si的HfO2中的泄漏电流。而NH3、Ar和Ar/H2等离子体增加了泄漏电流。用Ar和Ar/H2等离子体处理过的样品仅经过1000个开关循环便失效。
虽然在顶部电极沉积之前对HfO2进行等离子体处理减轻HfO2表面的缺陷,但主体HfO2膜中的缺陷可能是另一个泄漏电流源。结果,本文描述的一些方法在HfO2沉积的超循环之间采用等离子体处理以进一步减轻膜内的缺陷。例如,不是在8nm HfO2之后进行一次等离子处理,而是在每沉积1、2或4nm HfO2之后对衬底进行等离子体处理。
除N2等离子体外,Ar/H2和NH3等离子体还可降低在1000℃退火后HfO2中的泄漏电流。N2等离子体是改善漏电流的最有效环境。HfO2沉积和等离子处理的超循环有可能进一步降低铁电材料中的泄漏电流。在其他实施例中,等离子体的类型可以改变为电容耦合等离子体(CCP)、下游或远程等离子体或微波等离子体。
预处理衬底和/或处理HfO2
在其他实施例中,在HfO2的ALD的循环之前和/或之间,用等离子体和/或热处理工艺对衬底进行预处理进一步减少了泄漏并加宽了器件的存储窗。例如,在铁电场效应晶体管(FeFET)中,铁电HfO2布置在形成在Si衬底上的金属层(例如顶部电极)和介电层(例如绝缘体/界面层)之间,以形成MFIS薄膜堆叠结构。绝缘体层对MFIS薄膜堆叠件的使用性能至关重要。铁电材料中电荷的翻转会移动平带电压,导致C-V曲线出现迟滞,并会移动晶体管的阈值电压(Vth)。绝缘体层和/或在绝缘体层与铁电材料之间的界面处的缺陷会导致电荷注入,从而使平带电压发生偏移,并导致沿与铁电材料相反的方向的C-V磁滞(导致消除C-V磁滞)。因此,期望使绝缘体层中和/或在绝缘体层与铁电材料之间的界面处的缺陷最小化,以改善铁电材料的性能。
如下所述通过等离子体和/或热处理对衬底进行预处理来减少绝缘体层和/或在绝缘体层与铁电材料之间的界面处的缺陷,从而减少泄漏,并扩大器件的存储窗,如下面更详细描述的。预处理方法包括热处理、等离子体处理和/或一系列热处理和等离子体处理。用于处理的气体环境可能包括N2、N2/H2、NH3,O2和/或O3。在转移到ALD处理室之前,可以在ALD处理室中或在单独的室中对衬底进行预处理。在一些实施例中,可以在绝缘体层的表面上执行HfO2的一个或多个ALD循环(例如0.1-2.0nm HfO2)之后执行预处理工艺。在其他实施例中,可以在执行ALD之前以及在ALD的一个或多个循环之后在衬底上执行预处理工艺。在执行处理工艺之前的一个或多个ALD循环的沉积条件可以与后续ALD循环的沉积条件不同。例如,在执行处理工艺之前的一个或多个ALD循环的臭氧投配时间可以大于后续循环的臭氧投配时间。
现在参考图11A、11B、11C、11D、11E和11F,示出了用于在器件600中形成基于(HfO2)的铁电材料的实施例性工艺。在图11A中,器件600包括衬底(例如,一个或多个下伏层)604和布置在下伏层604上的界面/绝缘体层608(以下称为绝缘体层)。例如,下伏层604包括硅(Si)。在一些实施例中,绝缘体层608包含二氧化硅(SiO2)或氮氧化硅(SiON)电介质。在一些实施例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积绝缘体层608。在其他实施例中,可以经由Si的热氧化来形成绝缘体层608。例如,可以通过在具有氮物质(例如,N2O或N2)的氧环境中对硅进行热氧化以形成SiON、对SiO2进行等离子体氮化等来形成绝缘体层608。可以在与用于执行后续步骤的室不同的处理室中沉积绝缘体层608。
如图11B所示,执行绝缘体层608的预处理。预处理可以与绝缘体层608的沉积在相同或不同的处理室中执行。预处理可以包括热处理、等离子体处理和/或一系列热处理和等离子体处理(例如,热处理步骤之后是等离子体处理步骤)。预处理从绝缘体层608的表面去除缺陷(例如,未结合的碳氢化合物污染物)。例如,暴露于空气中可以使碳氢化合物吸附到绝缘体层608的表面上。预处理促进在处理室内碳氢化合物污染物和气体之间的结合。然后可以将结合的碳氢化合物从处理室中除去(例如清除)。
热处理可以包括在使工艺气体流入处理室中的同时提高衬底的温度(例如,使用温度控制器142)。例如,可以将衬底从1到最多30分钟升高到200℃至600℃的温度。在一些实施例中,将衬底升高到300至400℃的温度。工艺气体可以包括N2、N2/H2、NH3、O2和/或O3。升高的温度促进烃污染物和工艺气体之间的结合。
等离子体处理可包括使工艺气体(N2、N2/H2、NH3、O2、O3等)流动并在处理室内激励等离子体。虽然可以在提高衬底的温度的同时执行等离子体处理,但是可以在比热处理低得多的温度下(例如,在50℃下)执行等离子体处理。因此,等离子体处理促进了碳氢化合物污染物和工艺气体之间的结合,而没有更高的热处理温度。等离子体处理可以执行1到最多30分钟。
如图11C所示,在绝缘体层608上沉积HfO2层612,并且在HfO2层612上沉积顶部电极616。在一些实施例中,沉积的HfO2层612具有在2nm至12nm的范围内的厚度。在一些实施例中,使用选自由硅(Si)、铝(Al)、钇(Yt)、锆(Zr)和/或镧(La)组成的组的掺杂剂物质来掺杂HfO2层612。在一些实施例中,使用原子层沉积(ALD)来沉积HfO2层612,但是可以使用其他工艺。例如,可以使用热ALD或等离子体增强的ALD。在一些实施例中,HfO2层612是未掺杂的。在其他实施例中,HfO2层612被掺杂到预定的掺杂水平,该预定的掺杂水平从大于0mol%到小于或等于60mol%的所选掺杂剂物质。在一些实施例中,HfO2层612被掺杂到预定的掺杂水平,该预定的掺杂水平为3mol%至5mol%的所选掺杂剂物质。HfO2层612可以是非晶的。
可以可选地对HfO2层612进行等离子体处理。例如,HfO2层612被包含氮气物质的等离子体氮化。例如,可以使用分子氮(N2)气体。在一些实施例中,在从15s到60s范围内的预定时间段内进行氮化。在一些实施例中,RF功率可以在从100W到15kW的范围内。在一些实施例中,等离子体功率在从500W到1200W的范围内。在一些实施例中,RF频率可以在从1MHz到15MHz的范围内。在一些实施例中,RF频率是2.0MHz和/或13.56MHz。
顶部电极616沉积在HfO2层612上。在一些实施例中,顶部电极616包括TiN、TaN、Ir或W,但是可以使用其他电极材料(例如Pt、Au、Pd、Al、MO、Ni、Ti等)。在一些实施例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积顶部电极616。在沉积顶部电极616之后,将器件600在从500℃至1100℃的范围内的预定温度下退火。在其他实施例中,退火温度是在800℃至1000℃的范围内。
在退火之后,如图11D、11E和11F所示,对顶部电极616进行图案化。例如,如图11D所示,可以沉积掩模620。掩模620可以包括铂(Pt)。如图11E所示,使用湿法蚀刻或干法蚀刻来蚀刻顶部电极616。在一些实施例中,如图11F所示,在蚀刻之后可选地去除掩模620。在其他实施例中,不去除掩模。
现在参考图12A、12B、12C、12D、12E和12F,示出了用于在器件700中形成基于(HfO2)的铁电材料的另一实施例工艺。在图12A中,器件700包括衬底(例如,一个或多个下伏层)704和布置在下伏层704上的界面/绝缘体层708(在下文中称为绝缘体层)。例如,下伏层704包含硅(Si)。在一些实施例中,绝缘体层708包含二氧化硅(SiO2)或氮氧化硅(SiON)电介质。在一些实施例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积绝缘体层708。在其他实施例中,可以经由Si的热氧化来形成绝缘体层708。例如,可以通过在具有氮物质(例如,N2O或N2)的氧环境中对硅进行热氧化以形成SiON、对SiO2进行等离子体氮化等来形成绝缘体层708。可以在与用于执行后续步骤的室不同的处理室中沉积绝缘体层708。
如图12B所示,执行绝缘体层708的可选预处理。预处理与绝缘体层708的沉积可以在相同或不同的处理室中进行。预处理可以包括热处理、等离子体处理和/或一系列热处理和等离子体处理(例如,热处理步骤之后是等离子处理步骤)。预处理如上文在图11B所述从绝缘体层708的表面去除缺陷(例如,未结合的碳氢化合物污染物)。
如图12C所示,执行一个或多个ALD循环以在绝缘体层708上沉积HfO2的一个或多个薄层710(例如,0.1-2.0nm HfO2)。例如,这些初始的ALD循环可以在以下条件下进行:温度为180℃-300℃,压强为0.1到2.0托,臭氧投配时间为10-60秒,前体投配时间为1-5秒,清扫时间(即,以清扫前体和臭氧)为30-75秒。在一些实施例中,臭氧投配时间大于图12E的臭氧投配时间。例如,图12C的臭氧投配时间为45-60秒,而图12E的臭氧投配时间为10-45秒。用于初始ALD循环的增加的臭氧投配时间可以使在绝缘体层708和HfO2的薄层710的界面处的氧空位最小化。
如图12D所示,执行对HfO2层的沉积层710的处理。处理可以包括如上文图11B中所述的热处理、等离子体处理和/或一系列热处理和等离子体处理(例如,热处理步骤之后是等离子体处理步骤)。
如图12E所示,将其余的HfO2层沉积在层710上以形成HfO2层712,并且将顶部电极716沉积在HfO2层712上。在一些实施例中,沉积的HfO2层712的厚度在2nm至12nm之间的范围内。在一些实施例中,使用选自硅(Si)、铝(Al)、钇(Yt)、锆(Zr)和/或镧(La)的掺杂剂物质对HfO2层712进行掺杂。在一些实施例中,使用原子层沉积(ALD)来沉积HfO2层712,但是可以使用其他工艺。例如,可以使用热ALD或等离子体增强的ALD。在一些实施例中,HfO2层712是未掺杂的。在其他实施例中,HfO2层712被掺杂到预定的掺杂水平,该预定的掺杂水平是从大于0mol%到小于或等于60mol%的所选掺杂剂物质。在一些实施例中,将HfO2层712掺杂到预定掺杂水平,该预定的掺杂水平是3mol%至5mol%的所选掺杂剂物质。HfO2层712可以是非晶的。
可以任选地对完成的HfO2层712进行附加的等离子体处理。例如,HfO2层712被包括氮气物质的等离子体氮化。例如,可以使用分子氮(N2)气体。在一些实施例中,在从15秒到60秒的范围内的预定时间段内进行氮化。在一些实施例中,RF功率可以在从100W到15kW的范围内。在一些实施例中,等离子体功率在从500W到1200W的范围内。在一些实施例中,RF频率可以在从1MHz到15MHz的范围内。在一些实施例中,RF频率是2.0MHz和/或13.56MHz。
顶部电极716沉积在HfO2层712上。在一些实施例中,顶部电极716包括TiN、TaN、Ir或W,但是可以使用其他电极材料(例如Pt、Au、Pd、Al、MO、Ni、Ti等)。在一些实施例中,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)来沉积顶部电极716。在沉积顶部电极716之后,将器件700在500℃至1100℃的范围内的预定温度下退火。在其他实施例中,退火温度是在从800℃至1000℃的范围内。
在退火之后,如图12F所示,对顶部电极716进行图案化。例如,沉积掩模,蚀刻顶部电极716,并且在蚀刻之后以与图11D、11E和11F所述的方式类似的方式去除掩模。
现在参考图13,根据本公开的用于预处理绝缘体层和/或处理一个或多个HfO2层的方法800的实施例在804处开始。在808处,提供衬底。例如,包括一个或多个下伏层和绝缘体层的衬底被布置在处理室中的衬底支撑件上。绝缘体层可以包括二氧化硅(SiO2)或氮氧化硅(SiON)。例如,可以使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)在同一处理室或不同处理室中沉积界面层。
在812处,执行绝缘体层的可选预处理。例如,预处理可以包括如上文在图11B中所述的热处理和/或等离子体处理。在其中进行HfO2沉积层的可选处理的实施例中,方法800继续至816和820。否则,方法800继续至824。在816,执行一个或多个ALD循环以沉积HfO2薄层,如在上文图12C中所述。在820,执行HfO2沉积层的处理。例如,对HfO2的沉积层的处理可以包括如上文图12D中所述的热处理和/或等离子体处理。因此,在812、816和820处,方法800执行对绝缘体层的预处理和/或对沉积的HfO2薄层的处理。换句话说,方法800可以仅执行对绝缘体层的预处理,仅执行对沉积的HfO2薄层的处理,或者执行对绝缘体层的预处理和对沉积的HfO2薄层的处理两者。
在824处,将经掺杂或未经掺杂的HfO2层沉积在绝缘体层上(例如,使用ALD)或在先前在816和820处沉积在绝缘体层上的HfO2薄层上。在828处,对HfO2层进行等离子体处理可以任选地执行。例如,HfO2层可以被包含氮气物质的等离子体氮化。在832处,在HfO2层上沉积顶部电极(例如,TiN、TaN、Ir或W)。例如,使用原子层沉积(ALD)、化学气相沉积(CVD)或物理气相沉积(PVD)沉积顶部电极。在836处,将衬底、绝缘体层、HfO2层和顶部电极在500℃至1100℃(例如,800℃至1000℃)的预定温度下退火以形成铁电HfO2。可以在840处图案化顶部电极(例如,可以在顶部电极上图案化掩模)并在844处蚀刻。方法800在848处结束。
实施例
在一实施例中,在ALD温度(例如200℃)的ALD处理室中用臭氧(即,在进行任何HfO2 ALD循环之前)对SiO2绝缘体层进行预处理。在这个实施例中,泄漏电流略有降低。相反,在5-9个循环的HfO2 ALD(例如0.5-0.9nm)之后进行臭氧处理的实施例中,相对于其中绝缘体层被预处理的样品,泄漏电流减少了更多。泄漏电流的减少表明膜堆叠件中的缺陷较少,这表明MFIS开关中的C-V磁滞改善了。
在另一个实施例中,可以改变用于沉积初始的HfO2薄层(例如2nm)的条件以减少缺陷。例如,在初始ALD循环期间的O3投配时间(例如,对于前2nm)可以大于在处理之后进行的ALD循环的O3投配时间。因此,抑制了铁电切换中的泄漏特征。在处理之前和之后的ALD循环中使用相同的O3投配时间的实施例中,尽管在P-E曲线中进行了FE切换,但在C-V曲线中没有观察到FE磁滞。C-V磁滞的缺失可能是由于绝缘体/铁电界面处的缺陷密度高所致。电荷注入消除了FE切换的影响。相反,在处理前HfO2的前2nm中O3投配较长的实施例中,在C-V曲线中观察到0.2V的存储窗。在最初的2nm中延长的O3投配时间降低了界面处的缺陷密度,因此抑制了电荷注入。存储窗虽然小,但在C-V曲线中出现以指示铁电切换。
在另一实施例中,在执行HfO2 ALD之前,在衬底上执行形成气体退火(FGA)步骤。ALD之前在300℃下执行的FGA不能进一步改善泄漏。但是,存储窗从没有FGA的样品中的~0.3V增加到在ALD之前进行FGA的样品中的~0.55V。因此,将本文所述的预处理和处理方法与FGA结合可以进一步增大存储窗(例如,至1.0V)。
在这些描述的实施例中,样品包括具有4.2mol%的Si的8nm HfO2层。HfO2的厚度可能在2到12nm之间变化。HfO2层可以不掺杂,也可以包含Al、Y、Gd、Sr、La和Zr等掺杂剂。对于Si,掺杂剂浓度在0至6mol%之间变化,而其他掺杂剂的范围可能更宽,为0-60mol%。铁电HfO2是通过在600-1000℃的N2下用金属盖(例如TiN)退火而形成的。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其它实施方式中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在……顶部”、“在……上面”、“在……下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,任何工艺包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监测制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (31)

1.一种在衬底处理系统中形成铁电氧化铪(HfO2)的方法,该方法包括:
在所述衬底处理系统的处理室内布置衬底;
在所述衬底上沉积HfO2层;
对所述HfO2层进行等离子体处理;以及
对所述HfO2层进行退火以形成铁电氧化铪(HfO2),
其中沉积所述HfO2层和进行所述等离子处理包含交替循环以下操作:沉积所述HfO2层和对所述HfO2层进行所述等离子体处理。
2.根据权利要求1所述的方法,其中,使用原子层沉积(ALD)来沉积所述HfO2层。
3.根据权利要求1所述的方法,其进一步包含对所述HfO2层进行掺杂。
4.根据权利要求3所述的方法,其中对所述HfO2层进行掺杂包括用硅、铝、氧化钇、镧和锆中的至少一种对所述HfO2层进行掺杂。
5.根据权利要求3所述的方法,其中对所述HfO2层进行掺杂包括用0至5mol%之间的掺杂剂物质对所述HfO2层进行掺杂。
6.根据权利要求1所述的方法,其中,沉积所述HfO2层包括交替循环以下操作:将HfO2沉积到所述衬底上和对所沉积的所述HfO2进行掺杂。
7.根据权利要求1所述的方法,其中,所述HfO2层的厚度介于6nm和12nm之间。
8.根据权利要求1所述的方法,其中,执行所述等离子体处理包括使用至少一种等离子体气体物质来执行所述等离子体处理,其中,所述至少一种等离子体气体物质包括分子氮(N2)、氨气(NH3)、分子氧(O2),臭氧(O3)、氩(Ar)、以及氩和分子氢(Ar/H2)中的至少一种。
9.根据权利要求1所述的方法,其中执行所述等离子体处理包括使用分子氮(N2)执行所述等离子体处理,并且其中使用N2执行所述等离子体处理导致HfOxNy形成在所述HfO2层的表面上。
10.根据权利要求1所述的方法,其中执行所述等离子体处理包括执行所述等离子体处理持续介于15秒和60秒之间。
11.根据权利要求1所述的方法,其中执行所述等离子体处理包括以介于500瓦和1200瓦之间的射频功率执行所述等离子体处理。
12.根据权利要求11所述的方法,其中,RF功率在介于1MHz和15MHz之间下提供。
13.根据权利要求1所述的方法,其中,对所述HfO2层进行退火包括在介于500℃和1100℃之间的温度下对所述HfO2层进行退火。
14.根据权利要求1所述的方法,其中,对所述HfO2层进行退火包括在介于800℃和1000℃之间的温度下对所述HfO2层进行退火。
15.根据权利要求1所述的方法,其进一步包含在所述退火之前在所述HfO2层上沉积顶部电极。
16.根据权利要求15所述的方法,其中所述顶部电极包括氮化钽、氮化钛和钨中的至少一种。
17.根据权利要求1所述的方法,其中在所述衬底上沉积所述HfO2层包括在所述衬底上形成的下伏层和底部电极中的一者上沉积所述HfO2层。
18.一种在衬底处理系统中处理包含铁电氧化铪(HfO2)的衬底的方法,该方法包括:
在所述衬底处理系统的处理室内布置衬底,其中,所述衬底包括绝缘体层;
对所述绝缘体层执行热处理和等离子体处理中的至少一者,其中执行所述热处理和所述等离子体处理中的至少一者包括顺序地执行所述热处理和所述等离子体处理;
在所述绝缘体层上沉积HfO2层;以及
对所述HfO2层进行退火以形成铁电氧化铪(HfO2)。
19.根据权利要求18所述的方法,其中,所述绝缘体层包含二氧化硅(SiO2)和氧氮化硅(SiON)中的一种。
20.根据权利要求18所述的方法,其中执行所述热处理和所述等离子体处理中的所述至少一者包括在1至30分钟内将所述衬底的温度升高至介于200℃和600℃之间。
21.根据权利要求18所述的方法,其中执行所述热处理和所述等离子体处理中的至少一者包括向所述处理室提供N2、N2/H2、NH3、O2和O3中的至少一种。
22.根据权利要求18所述的方法,其还包括对所述HfO2层进行等离子体处理。
23.根据权利要求18所述的方法,其中,使用原子层沉积(ALD)来沉积所述HfO2层。
24.根据权利要求18所述的方法,其进一步包含对所述HfO2层进行掺杂。
25.一种在衬底处理系统中处理包含铁电氧化铪(HfO2)的衬底的方法,该方法包括:
在所述衬底处理系统的处理室内布置衬底,其中,所述衬底包括绝缘体层;
在所述绝缘体层上沉积至少一个第一HfO2层;
对所述至少一个第一HfO2层进行热处理和等离子体处理中的至少一者,其中执行所述热处理和所述等离子体处理中的至少一者包括顺序地执行所述热处理和所述等离子体处理;
在所述至少一个第一HfO2层上沉积至少一个第二HfO2层;以及
使所述至少一个第二HfO2层和所述至少一个第一HfO2层进行退火以形成铁电氧化铪(HfO2)层。
26.根据权利要求25所述的方法,其中,所述绝缘体层包含二氧化硅(SiO2)和氧氮化硅(SiON)中的一种。
27.根据权利要求25所述的方法,其中执行所述热处理和所述等离子体处理中的所述至少一者包括在1至30分钟内将所述衬底的温度升高至介于200℃和600℃之间。
28.根据权利要求25所述的方法,其中执行所述热处理和所述等离子体处理中的至少一者包括向所述处理室提供N2、N2/H2、NH3、O2和O3中的至少一种。
29.根据权利要求25所述的方法,其中,所述至少一个第一HfO2层是根据大于用于沉积所述至少一个第二HfO2层的投配时间的投配时间来沉积的。
30.根据权利要求25所述的方法,其还包括在沉积所述至少一个第一HfO2层之前,对所述绝缘体层执行热处理和等离子体处理中的至少一者。
31.根据权利要求25所述的方法,其中所述至少一个第一HfO2层和所述至少一个第二HfO2层是使用原子层沉积(ALD)沉积的。
CN201880053580.7A 2017-08-18 2018-08-08 用等离子体和/或热处理提高氧化铪基铁电材料性能的方法 Active CN111033686B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762547360P 2017-08-18 2017-08-18
US62/547,360 2017-08-18
US201762593530P 2017-12-01 2017-12-01
US62/593,530 2017-12-01
US16/052,963 2018-08-02
US16/052,963 US20190057860A1 (en) 2017-08-18 2018-08-02 Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
PCT/US2018/045771 WO2019036252A1 (en) 2017-08-18 2018-08-08 METHODS OF IMPROVING PERFORMANCE IN HAFNIUM OXIDE FERROELECTRIC MATERIAL USING PLASMA AND / OR THERMAL PROCESSING

Publications (2)

Publication Number Publication Date
CN111033686A CN111033686A (zh) 2020-04-17
CN111033686B true CN111033686B (zh) 2024-05-10

Family

ID=65360650

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880053580.7A Active CN111033686B (zh) 2017-08-18 2018-08-08 用等离子体和/或热处理提高氧化铪基铁电材料性能的方法

Country Status (6)

Country Link
US (1) US20190057860A1 (zh)
JP (1) JP7194171B2 (zh)
KR (1) KR102658746B1 (zh)
CN (1) CN111033686B (zh)
TW (1) TW201921426A (zh)
WO (1) WO2019036252A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6887307B2 (ja) * 2017-05-19 2021-06-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
CN109087997A (zh) * 2017-06-14 2018-12-25 萨摩亚商费洛储存科技股份有限公司 铁电膜层的制造方法、铁电隧道结单元、存储器元件及其写入与读取方法
US11349008B2 (en) * 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance transistor having a multilayer ferroelectric structure or a ferroelectric layer with a gradient doping profile
US11171219B2 (en) * 2020-03-20 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices
US11264489B2 (en) 2020-03-20 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Negative-capacitance and ferroelectric field-effect transistor (NCFET and FE-FET) devices
US11227933B2 (en) * 2020-03-31 2022-01-18 Taiwan Semiconductor Manufacturing Company Limited Ferroelectric field effect transistor using charge trapping band misalignment and methods of forming the same
US11335792B2 (en) 2020-04-06 2022-05-17 Tokyo Electron Limited Semiconductor processing system with in-situ electrical bias and methods thereof
US11894240B2 (en) 2020-04-06 2024-02-06 Tokyo Electron Limited Semiconductor processing systems with in-situ electrical bias
KR20220004433A (ko) 2020-07-03 2022-01-11 삼성전자주식회사 유전체 물질층을 포함하는 박막 구조체 및 이를 구비하는 전자소자
US11665909B2 (en) * 2020-07-23 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. FeRAM with laminated ferroelectric film and method forming same
CN112447508A (zh) * 2020-11-24 2021-03-05 湘潭大学 一种通过等离子体技术增强氧化铪(HfO2)基铁电薄膜铁电性能的方法
US11688601B2 (en) * 2020-11-30 2023-06-27 International Business Machines Corporation Obtaining a clean nitride surface by annealing
KR102399957B1 (ko) * 2021-01-25 2022-05-19 강원대학교산학협력단 강유전체 박막의 제조방법 및 이에 의해 제조된 강유전체 박막
US20220278115A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric Memory Device and Method of Manufacturing the Same
EP4135009A1 (en) 2021-08-11 2023-02-15 IMEC vzw A memory device with a ferroelectric charge trapping layer
KR20230041502A (ko) * 2021-09-17 2023-03-24 삼성전자주식회사 강유전체 전자 소자 및 그 결함 밀도 추출 방법
CN114836716B (zh) * 2022-03-23 2023-01-24 中南大学 一种无顶电极夹持HfO2基薄膜材料的制备方法及应用
CN114990530B (zh) * 2022-06-02 2024-06-07 华东师范大学 一种低温制备hzo铁电薄膜的方法及hzo铁电薄膜
US20240064993A1 (en) * 2022-08-11 2024-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating transistor structure
CN115261788B (zh) * 2022-09-07 2023-04-18 宁波大学 一种提高HfO2铁电性的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007024493A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in high-k nitridation of a gate dielectric layer
JP2010510677A (ja) * 2006-11-20 2010-04-02 アプライド マテリアルズ インコーポレイテッド ゲートスタック構造の順次処理のクラスター化方法
KR20150037009A (ko) * 2013-09-30 2015-04-08 에스케이하이닉스 주식회사 고유전층을 포함하는 반도체장치 및 그 제조 방법
JP2017518639A (ja) * 2014-05-20 2017-07-06 マイクロン テクノロジー, インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法。

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100513719B1 (ko) * 2002-08-12 2005-09-07 삼성전자주식회사 하프늄 산화막 형성용 전구체 및 상기 전구체를 이용한하프늄 산화막의 형성방법
JP2004158481A (ja) * 2002-11-01 2004-06-03 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6998317B2 (en) * 2003-12-18 2006-02-14 Sharp Laboratories Of America, Inc. Method of making a non-volatile memory using a plasma oxidized high-k charge-trapping layer
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
JP2008166360A (ja) * 2006-12-27 2008-07-17 Hitachi Ltd 半導体集積回路装置
US20100120245A1 (en) * 2008-11-07 2010-05-13 Agus Sofian Tjandra Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films
JP2013058559A (ja) * 2011-09-07 2013-03-28 Tokyo Electron Ltd 半導体装置の製造方法及び基板処理システム
US8546275B2 (en) * 2011-09-19 2013-10-01 Intermolecular, Inc. Atomic layer deposition of hafnium and zirconium oxides for memory applications
US9231206B2 (en) * 2013-09-13 2016-01-05 Micron Technology, Inc. Methods of forming a ferroelectric memory cell
US20150140838A1 (en) * 2013-11-19 2015-05-21 Intermolecular Inc. Two Step Deposition of High-k Gate Dielectric Materials
US9583337B2 (en) * 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007024493A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in high-k nitridation of a gate dielectric layer
JP2010510677A (ja) * 2006-11-20 2010-04-02 アプライド マテリアルズ インコーポレイテッド ゲートスタック構造の順次処理のクラスター化方法
KR20150037009A (ko) * 2013-09-30 2015-04-08 에스케이하이닉스 주식회사 고유전층을 포함하는 반도체장치 및 그 제조 방법
JP2017518639A (ja) * 2014-05-20 2017-07-06 マイクロン テクノロジー, インク. 有極性、カイラル、非中心対称性強誘電体材料、その材料を含むメモリセルおよび関連するデバイスと方法。

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Seokhoon Kim et al.Atomic layer deposition of HfO2 thin films on ultrathin SiO2 formed by remote plasma oxidation.《 Journal of the Korean Physical Society》.2008,第52卷第1103-1108页. *

Also Published As

Publication number Publication date
KR102658746B1 (ko) 2024-04-17
KR20200033980A (ko) 2020-03-30
WO2019036252A1 (en) 2019-02-21
CN111033686A (zh) 2020-04-17
JP2020532113A (ja) 2020-11-05
JP7194171B2 (ja) 2022-12-21
US20190057860A1 (en) 2019-02-21
TW201921426A (zh) 2019-06-01

Similar Documents

Publication Publication Date Title
CN111033686B (zh) 用等离子体和/或热处理提高氧化铪基铁电材料性能的方法
US10522343B2 (en) Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
CN101401194B (zh) 使用低能量等离子体系统制造高介电常数晶体管栅极的方法和装置
KR20080046647A (ko) 게이트 유전층의 높은-k 질화에서의 질소 프로파일엔지니어링
JP4162601B2 (ja) 絶縁膜の形成方法
TWI815891B (zh) 薄膜及沉積薄膜的方法
TWI423333B (zh) 利用低能量電漿系統製造高介電常數電晶體閘極之方法及設備
JP7354138B2 (ja) 酸化ハフニウム系強誘電材料のためのキャップ層
KR101713336B1 (ko) 라이너의 제거 처리 방법
JP7307745B2 (ja) 窒化ハフニウム層による酸化ハフニウムの強誘電特性の変更
JP4083000B2 (ja) 絶縁膜の形成方法
US7517818B2 (en) Method for forming a nitrided germanium-containing layer using plasma processing
KR102701940B1 (ko) 하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료에 대한 캡핑 (capping) 층
TWI739176B (zh) 在半導體元件中形成用於改良有效氧化物厚度之結構的方法
WO2017049145A1 (en) Germanium-containing semiconductor device and method of forming

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant