KR102701940B1 - 하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료에 대한 캡핑 (capping) 층 - Google Patents

하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료에 대한 캡핑 (capping) 층 Download PDF

Info

Publication number
KR102701940B1
KR102701940B1 KR1020207031549A KR20207031549A KR102701940B1 KR 102701940 B1 KR102701940 B1 KR 102701940B1 KR 1020207031549 A KR1020207031549 A KR 1020207031549A KR 20207031549 A KR20207031549 A KR 20207031549A KR 102701940 B1 KR102701940 B1 KR 102701940B1
Authority
KR
South Korea
Prior art keywords
hfo
layer
capping layer
ferroelectric
depositing
Prior art date
Application number
KR1020207031549A
Other languages
English (en)
Other versions
KR20200128449A (ko
Inventor
형석 알렉산더 윤
종웨이 주
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority claimed from PCT/US2019/023992 external-priority patent/WO2019195025A1/en
Publication of KR20200128449A publication Critical patent/KR20200128449A/ko
Application granted granted Critical
Publication of KR102701940B1 publication Critical patent/KR102701940B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

기판 프로세싱 시스템에서 강유전체 하프늄 옥사이드 (HfO2) 를 형성하는 방법은 기판 상에 HfO2 층을 증착하는 단계, HfO2 층 상에 캡핑 (capping) 층을 증착하는 단계, 강유전체 HfO2를 형성하기 위해 HfO2 층 및 캡핑 층을 어닐링하는 단계, 및 HfO2 층을 제거하지 않고 캡핑 층을 제거하기 위해 캡핑 층을 선택적으로 에칭하는 단계를 포함한다.

Description

하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료에 대한 캡핑 (capping) 층
관련 출원들에 대한 교차 참조
본 출원은 2018년 4월 2일에 출원된 미국 특허 가출원 번호 제 62/651,466 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
본 개시는 기판들을 프로세싱하기 위한 방법들에 관한 것이고, 보다 구체적으로 하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료의 누설 전류를 감소시키기 위한 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
하프늄 옥사이드 (HfO2) 기반 재료들에서 강유전체 거동의 발견은 강유전체 메모리 (FeRAM) 연구에 활기를 띠게 했다. 납 지르코네이트 티타네이트 (lead zirconate titanate (PZT)) 와 같은 종래의 강유전체 재료들은 50 나노미터 (nm) 이하의 두께들에 대해 적절한 스위칭 윈도우를 갖지 않는다. 따라서 PZT는 50 nm 미만인 피처들의 사이즈들을 갖는 디바이스들에 대해 사용될 수 없다.
HfO2는 고 항자력 장 (coercive field) 으로 인해 6 nm의 두께에 이르기까지 매우 우수한 강유전체 스위칭 히스테리시스 (hysteresis) 를 갖는다. HfO2는 또한 3D 메모리 구조체들에 대한 우수한 후보이다. HfO2는 게이트 유전체로서 CMOS 기술에 널리 사용되었다. 이들 적용예들에서, HfO2는 컨포멀한 (conformal) ALD (Atomic Layer Deposition) 를 사용하여 증착된다. 따라서, HfO2는 현재 3D NAND 통합 스킴들 (schemes) 을 사용하여 3D FeRAM으로 통합하기 적합할 수도 있다.
기판 프로세싱 시스템에서 강유전체 하프늄 옥사이드 (HfO2) 를 형성하는 방법은 기판 상에 HfO2 층을 증착하는 단계, HfO2 층 상에 캡핑 (capping) 층을 증착하는 단계, 강유전체 HfO2를 형성하기 위해 HfO2 층 및 캡핑 층을 어닐링하는 단계, 및 HfO2 층을 제거하지 않고 캡핑 층을 제거하기 위해 캡핑 층을 선택적으로 에칭하는 단계를 포함한다.
다른 특징들에서, 캡핑 층은 실리콘 나이트라이드 (SiN), 실리콘 다이옥사이드 (SiO2), 및 알루미늄 옥사이드 (Al2O3) 로 구성된 그룹으로부터 선택된 재료를 포함한다. 캡핑 층은 티타늄 또는 탄탈룸을 포함하지 않는다. 방법은 캡핑 층을 증착하는 단계 전에 HfO2 층을 질화하는 (nitridating) 단계를 더 포함한다. 방법은 캡핑 층을 제거하는 단계에 후속하여 HfO2 층 상에 상단 전극을 증착하는 단계를 더 포함한다. 상단 전극은 티타늄, 탄탈룸, 및 텅스텐으로 구성된 그룹으로부터 선택된 재료를 포함한다.
다른 특징들에서, 캡핑 층을 선택적으로 에칭하는 단계는 희석된 플루오르화수소산 (hydrofluoric acid) 용액을 사용하여 캡핑 층을 습식 에칭하는 단계를 포함한다. 캡핑 층을 선택적으로 에칭하는 단계는 플루오로카본 플라즈마 및 할로겐 플라즈마 중 적어도 하나로 생성된 플라즈마를 사용하여 캡핑 층을 건식 플라즈마 에칭하는 단계를 포함한다. 강유전체 HfO2를 형성하기 위해 HfO2 층 및 캡핑 층을 어닐링하는 단계는 500 내지 1000 ℃ 범위 내의 온도에서 급속 열 어닐링 프로세스를 수행하는 단계를 포함한다.
다른 특징들에서, 방법은 기판 상에 하단 전극을 증착하는 단계를 더 포함하고, HfO2 층을 증착하는 단계는 하단 전극 상에 HfO2 층을 증착하는 단계를 포함한다. 방법은 캡핑 층을 증착하기 전 HfO2 층의 플라즈마 처리를 수행하는 단계를 더 포함한다. 방법은 캡핑 층을 선택적으로 에칭하는 단계에 후속하여 HfO2 층을 보수하는 단계, 및 HfO2 층을 보수하는 단계에 후속하여 HfO2 층 상에 상단 전극을 증착하는 단계를 더 포함한다. HfO2 층을 보수하는 단계는 HfO2 층 상에 부가적인 HfO2 재료를 증착하는 단계를 포함한다.
프로세싱 챔버 내의 기판 상에 강유전체 HfO2를 형성하도록 구성된 시스템이 프로세싱 챔버에 프로세스 가스를 공급하도록 구성된 가스 전달 시스템 및 프로세싱 챔버 내에서 플라즈마를 선택적으로 생성하도록 구성된 무선 주파수 (Radio Frequency; RF) 생성 시스템을 포함한다. 제어기가 가스 전달 시스템 및 RF 생성 시스템을 제어함으로써, 기판 상에 HfO2 층을 증착하고, HfO2 층 상에 캡핑 층을 증착하고, 그리고 강유전체 HfO2를 형성하도록 HfO2 층 및 캡핑 층을 어닐링하고, 그리고 HfO2 층을 제거하지 않고 캡핑 층을 제거하기 위해 캡핑 층을 선택적으로 에칭하도록 구성된다.
다른 특징들에서, 캡핑 층은 실리콘 나이트라이드 (SiN), 실리콘 다이옥사이드 (SiO2), 및 알루미늄 옥사이드 (Al2O3) 로 구성된 그룹으로부터 선택된 재료를 포함한다. 캡핑 층은 티타늄 또는 탄탈룸을 포함하지 않는다. 제어기는 캡핑 층을 증착하기 전 HfO2 층을 질화하도록 더 구성된다. 제어기는 캡핑 층을 제거하는 것에 후속하여 HfO2 층 상에 상단 전극을 증착하도록 더 구성된다. 상단 전극은 티타늄, 탄탈룸, 및 텅스텐으로 구성된 그룹으로부터 선택된 재료를 포함한다.
다른 특징들에서, 캡핑 층을 선택적으로 에칭하는 것은 희석된 플루오르화수소산 용액을 사용하여 캡핑 층을 습식 에칭하는 것을 포함한다. 캡핑 층을 선택적으로 에칭하는 것은 플루오로카본 플라즈마 및 할로겐 플라즈마 중 적어도 하나로 생성된 플라즈마를 사용하여 캡핑 층을 건식 플라즈마 에칭하는 단계를 포함한다. 강유전체 HfO2를 형성하기 위해 HfO2 층 및 캡핑 층을 어닐링하는 것은 500 내지 1000 ℃ 범위 내의 온도에서 급속 열 어닐링 프로세스를 수행하는 것을 포함한다.
다른 특징들에서, 제어기는 기판 상에 하단 전극을 증착하도록 더 구성되고, HfO2 층을 증착하는 것은 하단 전극 상에 HfO2 층을 증착하는 것을 포함한다. 제어기는 캡핑 층을 증착하기 전 HfO2 층의 플라즈마 처리를 수행하도록 더 구성된다. 제어기는 캡핑 층을 선택적으로 에칭하는 것에 후속하여 HfO2 층을 보수하고, 그리고 HfO2 층을 보수하는 것에 후속하여 HfO2 층 상에 상단 전극을 증착하도록 더 구성된다. HfO2 층을 보수하는 것은 HfO2 층 상에 부가적인 HfO2 재료를 증착하는 것을 포함한다.
본 개시의 추가 적용가능성 영역들은 상세한 기술, 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들만을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 강유전체 HfO2 층을 형성하기 위한 일 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 2a 내지 도 2f는 강유전체 HfO2를 포함하는 디바이스를 형성하기 위한 일 예시적인 프로세스의 측단면도들이다.
도 3a 및 도 3b는 강유전체 HfO2 층을 보수하기 위한 일 예시적인 프로세스의 측단면도들이다.
도 4a 내지 도 4f는 본 개시에 따른 강유전체 HfO2를 포함하는 디바이스를 형성하기 위한 일 예시적인 프로세스의 측단면도들이다.
도 5는 본 개시에 따른 희생 캡핑 층을 사용하는 강유전체 HfO2 층을 형성하기 위한 일 예시적인 방법을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
HfO2의 열 안정성은 FeRAM 적용예들에서 상업화에 대한 장애물이다. 600 내지 650 ℃의 온도들이 증착된 비정질 HfO2를 강유전체 상 (phase) 으로 결정화하기에 충분히 높지만, 많은 통합 스킴들 (schemes) 은 적어도 1000 ℃의 열 예산을 요구한다. 보다 높은 프로세스 온도는 누설 전류를 증가시키고 그리고/또는 디바이스들을 쇼트시킴으로써 (shorting) HfO2-기반 FeRAM을 저하시킨다. 고온 어닐링 후 누설의 소스들은 상단 전극/HfO2 계면에서의 결함 생성을 포함한다. 누설 전류의 또 다른 소스는 HfO2의 막 균열을 포함한다. HfO2의 균열로, 상단 전극 및 하단 전극으로부터의 원자들은 HfO2 내로 자유롭게 확산될 수 있고, 이는 결국 디바이스를 고장낸다 (fail). 예를 들어, 상단 전극은 HfO2 층의 열역학적으로 안정한 단사정계 (monoclinic) 상으로의 천이를 방지하기 위해 어닐링 동안 캡으로서 기능한다. 단사정계 상에서, HfO2는 강유전체가 아니다.
본 개시에 따른 시스템들 및 방법들은 반도체 기판 상에 감소된 결함들 및 누설들을 갖는 강유전체 HfO2 층을 형성하도록 구성된 캡핑 층을 구현한다. 예를 들어, 캡핑 층은 HfO2 층을 질화하기 위해 옥사이드 및/또는 TiN 이외의 나이트라이드를 포함할 수도 있다. 예를 들어, 캡핑 층은 실리콘 나이트라이드 (SiN), 실리콘 다이옥사이드 (SiO2), 알루미늄 옥사이드 (Al2O3), 실리콘 옥시나이트라이드 (SiOxNy), 알루미늄 나이트라이드 (AlN), 알루미늄 옥시나이트라이드 (AlOxNy), 등을 포함할 수도 있다. 일부 예들에서, 캡핑 층은 티타늄 또는 탄탈룸, 예컨대 탄탈룸 펜톡사이드 (Ta2O5), 탄탈룸 옥시나이트라이드 (TaOxNy), 티타늄 옥사이드 (TiO2), 티타늄 옥시나이트라이드 (TiOxNy), 등을 포함할 수도 있다. 캡핑 층의 재료는 강유전체 HfO2가 HfO2를 어닐링함으로써 형성될 수 있도록 선택되고, 캡핑 층은 어닐링에 후속하여 HfO2로부터 선택적으로 제거될 수도 있고 (예를 들어, 건식 에칭 또는 습식 에칭을 통해 에칭됨), 그리고 캡핑 층 내의 원자들은 HfO2 내로 확산되지 않으며 HfO2로부터 산소 원자들을 소기하지 (scavenge) 않는다. 캡핑 층의 재료는 또한 계면 응력을 감소시키도록, 그리고 입자 사이즈 및 배향, 스위칭 속도, 잔류 분극, 및 HfO2의 다른 속성들을 튜닝하도록 선택될 수도 있다.
캡핑 층은 Ti 확산으로 유발된 HfO2의 열화를 제거하면서 어닐링 동안 사방정계 (orthorhombic) 강유전체 HfO2의 형성을 촉진시킨다. 캡핑 층은 이후 제거될 수도 있고, 상단 전극이 HfO2 상에 증착된다. 일부 예들에서, HfO2의 부가적인 증착은 어닐링에 의해 유발된 HfO2의 모든 균열을 보수하기 위해 어닐링에 후속하여 그리고 상단 전극 증착 전에 수행될 수도 있다. 예를 들어, HfO2의 부가적인 증착은 2 개 이상의 증착 사이클들을 수행하는 것을 포함할 수도 있다.
도 1은 본 개시에 따른 강유전체 HfO2를 형성하기 위해 ALD를 사용하여 HfO2 층을 증착하고 선택 가능하게 도핑하고, 캡핑 층을 증착하기 위한 일 예시적인 기판 프로세싱 시스템 (100) 을 도시한다. HfO2 층의 증착 및 도핑 그리고 캡핑 층의 증착이 이 예에서 동일한 프로세싱 챔버에서 수행되지만, 별개의 프로세싱 챔버들이 사용될 수 있다. 예를 들어, TCP (Transformer Coupled Plasma) 챔버, PECVD (Plasma-Enhanced Chemical Vapor Deposition) 챔버, HPCVD (High Pressure CVD) 챔버, 및/또는 리모트 플라즈마 소스를 사용하는 프로세싱 챔버가 이하에 기술된 하나 이상의 증착 또는 에칭 단계들을 수행하도록 사용될 수도 있다.
기판 프로세싱 시스템 (100) 은 기판 프로세싱 시스템 (100) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (102) 를 포함한다. 기판 프로세싱 챔버 (102) 는 상부 전극 (104) 및 정전 척 (electrostatic chuck; ESC) 과 같은 기판 지지부 (106) 를 포함한다. 동작 동안, 기판 (108) 이 ESC (106) 상에 배치된다.
단지 예를 들면, 상부 전극 (104) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (109) 를 포함할 수도 있다. 샤워헤드 (109) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 원통형이고, 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에서 스템 부분의 반대편 단부로부터 방사상 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면플레이트는 복수의 홀들을 포함하고, 이를 통해 프로세스 가스 또는 퍼지 가스가 흐른다. 대안적으로, 상부 전극 (104) 은 도전 플레이트를 포함할 수도 있고, 프로세스 가스들이 또 다른 방식으로 도입될 수도 있다.
ESC (106) 는 하부 전극으로서 역할하는 도전성 베이스플레이트 (110) 를 포함한다. 베이스플레이트 (110) 는 세라믹 멀티-존 가열 플레이트에 대응할 수도 있는, 가열 플레이트 (112) 를 지지한다. 내열 층 (114) 이 가열 플레이트 (112) 와 베이스플레이트 (110) 사이에 배치될 수도 있다. 베이스플레이트 (110) 는 베이스플레이트 (110) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (116) 을 포함할 수도 있다.
RF 생성 시스템 (120) 이 RF 전압을 생성하고 상부 전극 (104) 및 하부 전극 (예를 들어, ESC (106) 의 베이스플레이트 (110)) 중 하나로 출력한다. 상부 전극 (104) 및 베이스플레이트 (110) 중 다른 하나는 DC 접지되거나, AC 접지되거나, 또는 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (120) 은 매칭 및 분배 네트워크 (124) 에 의해 상부 전극 (104) 또는 베이스플레이트 (110) 에 피딩되는 RF 전압을 생성하는 RF 전압 생성기 (122) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 리모트로 생성될 수도 있다.
가스 전달 시스템 (130) 이 하나 이상의 가스 소스들 (132-1, 132-2, ..., 및 132-N) (집합적으로 가스 소스들 (132)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 증착 전구체들 및 이들의 혼합물들을 공급한다. 가스 전구체들은 HfO2 층 및/또는 다른 층들을 위해 전구체 가스들을 포함할 수도 있다. 가스 소스들은 또한 퍼지 가스 및 플라즈마 질화 (nitridation) 를 위한 질소 종 및/또는 다른 플라즈마 처리들을 위한 다른 가스 종 (예컨대, Ar, Ar/H2, NH3, O2, O3, 등) 을 포함하는 가스들을 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (132) 은 밸브들 (134-1, 134-2, ..., 및 134-N) (집합적으로 밸브들 (134)) 및 질량 유량 제어기들 (Mass Flow Controllers; MFC) (136-1, 136-2, ..., 및 136-N) (집합적으로 MFC들 (136)) 에 의해 매니폴드 (138) 에 연결된다. 매니폴드 (138) 의 출력이 프로세싱 챔버 (102) 에 피딩된다. 단지 예를 들면, 매니폴드 (138) 의 출력이 샤워헤드 (109) 에 피딩된다. 일부 예들에서, 선택 가능한 오존 생성기 (140) 가 MFC들 (136) 과 매니폴드 (138) 사이에 제공될 수도 있다. 일부 예들에서, 기판 프로세싱 시스템 (100) 은 액체 전구체 전달 시스템 (141) 을 포함할 수도 있다. 액체 전구체 전달 시스템 (141) 은 도시된 바와 같이 가스 전달 시스템 (130) 내에 통합될 수도 있고, 또는 가스 전달 시스템 (130) 외부에 있을 수도 있다. 액체 전구체 전달 시스템 (141) 은 버블러 (bubbler), 직접 액체 주입, 증기 인출, 등을 통해 상온에서 액체 및/또는 고체인 전구체들을 제공하도록 구성된다.
온도 제어기 (142) 가 가열 플레이트 (112) 에 배치된 복수의 TCEs (thermal control elements) (144) 에 연결될 수도 있다. 예를 들어, TCEs (144) 는 이로 제한되는 것은 아니지만, 도 2a 및 도 2b에 보다 상세히 기술된 바와 같이 멀티-존 가열 플레이트의 존 각각에 대응하는 각각의 매크로 TCEs 및/또는 멀티-존 가열 플레이트의 복수의 존들에 걸쳐 배치된 마이크로 TCEs의 어레이를 포함할 수도 있다. 온도 제어기 (142) 는 ESC (106) 및 기판 (108) 의 온도를 제어하기 위해 복수의 TCEs (144) 를 제어하도록 사용될 수도 있다.
온도 제어기 (142) 는 채널들 (116) 을 통하는 냉각제 플로우를 제어하도록 냉각제 어셈블리 (146) (예를 들어, 냉각제 펌프, 냉각제 저장부 또는 소스, 등을 포함함) 와 연통할 수도 있다. 온도 제어기 (142) 는 ESC (106) 를 냉각하기 위해 채널들 (116) 을 통해 냉각제를 선택적으로 흘리도록 냉각제 어셈블리 (146) 를 동작시킨다.
밸브 (150) 및 펌프 (152) 가 프로세싱 챔버 (102) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (160) 가 기판 프로세싱 시스템 (100) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (170) 이 ESC (106) 상으로 기판들을 전달하고, ESC (106) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (170) 은 기판 지지부 (106) 와 로드 록 (172) 사이에서 기판들을 이송할 수도 있다. 별도의 제어기들로 도시되었지만, 온도 제어기 (142) 는 시스템 제어기 (160) 내에 구현될 수도 있다.
이제 도 2a 내지 2f를 참조하면, 디바이스 (200) 에서 (HfO2)-기반 강유전체 재료를 형성하기 위한 일 예시적인 프로세스가 도시된다. 도 2a에서, 디바이스 (200) 는 기판 (예를 들어, 하나 이상의 하부 층들) (204) 및 하부 층들 (204) 상에 배치된 계면 층 (208) 을 포함한다. 예를 들어, 하부 층들 (204) 은 실리콘 (Si) 을 포함한다. 일부 예들에서, 계면 층 (208) 은 티타늄 나이트라이드 (TiN), 탄탈룸 나이트라이드 (TaN), 또는 텅스텐 (W) 을 포함하는 하단 전극에 대응하지만, 다른 전극 재료들이 사용될 수 있다. 다른 예들이 백금 (Pt), 금 (Au), 팔라듐 (Pd), 알루미늄 (Al), 몰리브덴 (Mo), 니켈 (Ni), 티타늄 (Ti), 등을 포함하지만, 이에 제한되지 않는다. 다른 예들에서, 계면 층 (208) 은 실리콘 다이옥사이드 (SiO2) 또는 실리콘 옥시나이트라이드 (SiON) 를 포함할 수도 있다. 일부 예들에서, 계면 층 (208) 은 ALD, CVD 또는 PVD (Physical Vapor Deposition) 를 사용하여 증착된다. 다른 예들에서, 계면 층 (208) 은 Si의 열적 산화를 통해 형성될 수도 있다. 예를 들어, 계면 층 (208) 은 SiON을 형성하기 위해 질소 종 (예를 들어, N2O 또는 N2) 을 갖는 산소 분위기에서 Si의 열적 산화, SiO2의 플라즈마 질화, 등에 의해 형성될 수도 있다.
도 2b에 도시된 바와 같이, HfO2 층 (212) 이 계면 층 (208) 상에 증착된다. 일부 예들에서, 증착된 HfO2 층 (212) 은 2 nm 내지 12 nm 범위의 두께를 갖는다. 일부 예들에서, HfO2 층 (212) 은 실리콘 (Si), 알루미늄 (Al), 이트륨 (Y), 지르코늄 (Zr), 및/또는 란타늄 (La) 으로 구성된 그룹으로부터 선택된 도펀트 (dopant) 종을 사용하여 도핑된다. 일부 예들에서, HfO2 층 (212) 은 ALD를 사용하여 증착되지만, 다른 프로세스들이 사용될 수 있다. 예를 들어, 열적 ALD 또는 플라즈마-향상된 ALD (Plasma-Enhanced ALD; PEALD) 가 사용될 수 있다. 일부 예들에서, HfO2 층 (212) 은 도핑되지 않는다. 다른 예들에서, HfO2 층 (212) 은 선택된 도펀트 종의 0 몰% (mol%) 초과 60 몰% 이하의 미리 결정된 도핑 레벨로 도핑된다. 일부 예들에서, HfO2 층 (212) 은 선택된 도펀트 종의 3 몰% 내지 5 몰%의 미리 결정된 도핑 레벨로 도핑된다. HfO2 층 (212) 은 비정질일 수도 있다.
HfO2 층 (212) 의 플라즈마 처리가 선택 가능하게 수행될 수도 있다. 예를 들어, HfO2 층 (212) 은 질소 가스 종을 포함하는 플라즈마에 의해 질화된다. 예를 들어, 분자 질소 (N2) 가스가 사용될 수도 있다. 일부 예들에서, 질화는 15 초 내지 60 초 범위의 미리 결정된 기간 동안 수행된다. 일부 예들에서, RF 전력은 100 W 내지 15 kW의 범위일 수도 있다. 일부 예들에서, RF 전력은 500 W 내지 1200 W의 범위 내이다. 일부 예들에서, RF 주파수는 1 ㎒ 내지 15 ㎒ 범위일 수도 있다. 일부 예들에서, RF 주파수는 2.0 ㎒ 및/또는 13.56 ㎒이다.
플라즈마 처리 후, 상단 전극 (216) 이 도 2c에 도시된 바와 같이 HfO2 층 (212) 상에 증착된다. 일부 예들에서, 상단 전극 (216) 은 TiN, TaN 또는 W를 포함하지만, 다른 전극 재료들 (예를 들어, Pt, Au, Pd, Al, Mo, Ni, Ti, 등) 이 사용될 수 있다. 일부 예들에서, 상단 전극 (216) 은 ALD, CVD, 또는 PVD를 사용하여 증착된다. 상단 전극 (216) 을 증착한 후, 디바이스 (200) 는 500 ℃ 내지 1100 ℃ 범위의 미리 결정된 온도로 어닐링된다. 다른 예들에서, 어닐링 온도는 800 ℃ 내지 1000 ℃의 범위이다.
어닐링 후, 상단 전극 (216) 은 도 2d 내지 도 2f에 도시된 바와 같이 패터닝된다. 예를 들어, 마스크 (220) 가 도 2d에 도시된 바와 같이 증착될 수도 있다. 마스크 (220) 는 백금 (Pt) 을 포함할 수도 있다. 상단 전극 (216) 은 도 2e에 도시된 바와 같이 습식 에칭 또는 건식 에칭을 사용하여 에칭된다. 일부 예들에서, 마스크 (220) 는 도 2f에 도시된 바와 같이 에칭 후 선택 가능하게 제거된다. 다른 예들에서, 마스크는 제거되지 않는다.
이제 도 3a 및 도 3b를 참조하면, 도 2a 내지 도 2f에 도시된 방식으로 형성된 강유전체 HfO2 층 (304) 을 포함하는 디바이스 (300) 를 보수하기 위한 일 예시적인 프로세스가 도시된다. 도 3a에 도시된 바와 같이, (예를 들어, 도 2c에 상기 기술된 바와 같이) 디바이스 (300) 를 어닐링하는 단계는 HfO2 층 (304) 에서 균열을 유발할 수도 있다. 예를 들어, 하나 이상의 균열들 (308) 은 HfO2 층 (304) 을 통해 부분적으로 또는 전체적으로 연장될 수도 있다. 따라서, (예를 들어, 하나 이상의 사이클들에서) 부가적인 HfO2 증착 단계들은 도 3b에 도시된 바와 같이 HfO2 층 (304) 의 표면 상의 균열들 (308) 을 충진하고 그리고/또는 다른 결함들을 보수하기 위해 HfO2 층 (304) 상에 박형의 HfO2 막 (312) 을 증착하도록 수행될 수도 있다. HfO2 층 (304) 의 표면 상의 균열들 (308) 및 다른 결함들 (예를 들어, HfO2 층 (304) 과 상단 전극 사이 계면에서의 결함들) 은 상단 전극 및 하단 전극으로부터 HfO2 층 (304) 내로의 Ti 또는 Ta 원자들의 확산을 용이하게 할 수도 있어 누설 전류, 디바이스 (300) 의 쇼트를 증가시키는 등을 하고, 디바이스 (300) 의 고장을 유발할 수도 있다.
이제 도 4a 내지 도 4f를 참조하면, 본 개시에 따른 희생 캡핑 층을 사용하는 디바이스 (400) 에 (HfO2)-기반 강유전체 재료를 형성하기 위한 일 예시적인 프로세스가 도시된다. 도 4a에서, 디바이스 (400) 는 기판 (예를 들어, 하나 이상의 하부 층들) (404) 및 하부 층들 (404) 상에 배치된 계면 층 (408) 을 포함한다. 예를 들어, 하부 층들 (404) 은 실리콘 (Si), 게르마늄 (Ge), 실리콘-게르마늄 (SixGe(1-x)), 등을 포함한다. 일부 예들에서, 계면 층 (408) 은 티타늄 나이트라이드 (TiN), 탄탈룸 나이트라이드 (TaN), 또는 텅스텐 (W) 을 포함하는 하단 전극에 대응하지만, 다른 전극 재료들 (예를 들어, Pt, Au, Pd, Al, Mo, Ni, Ti, 등) 이 사용될 수 있다. 다른 예들에서, 계면 층 (408) 은 실리콘 다이옥사이드 (SiO2) 또는 실리콘 옥시나이트라이드 (SiON) 를 포함할 수도 있다. 일부 예들에서, 계면 층 (408) 은 ALD, CVD 또는 PVD를 사용하여 증착된다.
도 4b에 도시된 바와 같이, HfO2 층 (412) 이 계면 층 (408) 상에 증착된다. 일부 예들에서, 증착된 HfO2 층 (412) 은 2 nm 내지 12 nm 범위의 두께를 갖는다. 일부 예들에서, HfO2 층 (412) 은 실리콘 (Si), 알루미늄 (Al), 이트륨 (Y), 지르코늄 (Zr), 및/또는 란타늄 (La) 으로 구성된 그룹으로부터 선택된 도펀트 종을 사용하여 도핑된다. 일부 예들에서, HfO2 층 (412) 은 ALD를 사용하여 증착되지만, 다른 프로세스들이 사용될 수 있다. 예를 들어, 열적 ALD 또는 PEALD가 사용될 수 있다. 일부 예들에서, HfO2 층 (412) 은 도핑되지 않는다. 다른 예들에서, HfO2 층 (412) 은 선택된 도펀트 종의 0 몰% 초과 60 몰% 이하의 미리 결정된 도핑 레벨로 도핑된다. 일부 예들에서, HfO2 층 (412) 은 선택된 도펀트 종의 3 몰% 내지 5 몰%의 미리 결정된 도핑 레벨로 도핑된다. HfO2 층 (412) 은 비정질일 수도 있다.
HfO2 층 (412) 의 플라즈마 처리가 선택 가능하게 수행될 수도 있다. 예를 들어, HfO2 층 (412) 은 질소 가스 종을 포함하는 플라즈마에 의해 질화된다. 예를 들어, 분자 질소 (N2) 가스가 사용될 수도 있다. 일부 예들에서, 질화는 15 초 내지 60 초 범위의 미리 결정된 기간 동안 수행된다. 일부 예들에서, RF 전력은 100 W 내지 15 kW의 범위일 수도 있다. 일부 예들에서, RF 전력은 500 W 내지 1200 W의 범위 내이다. 일부 예들에서, RF 주파수는 1 ㎒ 내지 15 ㎒ 범위일 수도 있다. 일부 예들에서, RF 주파수는 2.0 ㎒ 및/또는 13.56 ㎒이다.
플라즈마 처리 후, 캡핑 층 (416) (예를 들어, 희생 유전체 층) 이 도 4c에 도시된 바와 같이 HfO2 층 (412) 상에 증착된다. 일부 예들에서, 캡핑 층 (416) 은 HfO2 층을 질화하기 위해 TiN 이외의 옥사이드 및/또는 나이트라이드를 포함한다. 예를 들어, 캡핑 층 (416) 은 실리콘 나이트라이드 (SiN), 실리콘 다이옥사이드 (SiO2), 알루미늄 옥사이드 (Al2O3), 실리콘 옥시나이트라이드 (SiOxNy), 알루미늄 나이트라이드 (AlN), 알루미늄 옥시나이트라이드 (AlOxNy), 탄탈룸 펜톡사이드 (Ta2O5), 탄탈룸 옥시나이트라이드 (TaOxNy), 티타늄 옥사이드 (TiO2), 티타늄 옥시나이트라이드 (TiOxNy), 등을 포함할 수도 있다. 일부 예들에서, 캡핑 층 (416) 은 ALD, CVD 또는 PVD를 사용하여 증착된다. 캡핑 층 (416) 을 증착한 후, 강유전체 HfO2를 형성하기 위해 디바이스 (400) 는 500 ℃ 내지 1100 ℃ 범위의 미리 결정된 온도로 어닐링된다. 다른 예들에서, 어닐링 온도는 800 ℃ 내지 1000 ℃의 범위이다.
어닐링 후, 캡핑 층 (416) 은 도 4d에 도시된 바와 같이 (예를 들어, 건식 에칭 또는 습식 에칭을 통해) HfO2 층 (412) 으로부터 제거된다. 예를 들어, 캡핑 층 (416) 의 제거는 HfO2 층 (412) 및 캡핑 층 (416) 의 증착과 상이한 프로세싱 챔버에서 수행될 수도 있다. 에칭은 HfO2 층 (412) 을 제거하지 않고 캡핑 층 (416) 을 선택적으로 제거하도록 구성된 재료를 사용하여 수행될 수도 있다. 즉, 에칭은 캡핑 층 (416) 의 재료의 선택적인 에칭을 위해 구성된다.
일 예시적인 습식 에칭 프로세스에서, 희석된 플루오르화수소산 (hydrofluoric acid; HF) 용액이 SiN을 포함하는 캡핑 층 (416) 의 선택적인 에칭을 위해 프로세싱 챔버에 공급될 수도 있다. 일례에서, 희석된 플루오르화수소산 용액은 수성 또는 비수성 (예를 들어, 알코올) 용매에 용해된 플루오르화수소산을 포함할 수도 있다. 용액의 용매 대 플루오르화수소산의 비는 10:1 내지 100:1일 수도 있다. 희석된 플루오르화수소산 용액은 SiN을 에칭하는데 선택적이다. 반대로, HfO2는 희석된 플루오르화수소산에서 안정하다. 예를 들어, 희석된 플루오르화수소산을 사용한 습식 에칭으로의 10 분 노출은 캡핑 층 (416) 을 완전히 제거하는 동안 HfO2 층 (412) 으로부터 에칭되는 0.2 nm 미만의 재료를 발생시킬 수도 있다.
HfO2 에 대해 SiN을 선택적으로 에칭하도록 구성된 예시적인 건식 플라즈마 에칭 프로세스들은 플루오로메탄 (CH3F) 및 분자 산소 (O2), 질소 트리플루오라이드 (NF3) 및 염소 (Cl2), NF3 및 O2, 그리고 테트라플루오로메탄 (CF4) 및 O2로 생성된 플라즈마들을 사용할 수도 있지만, 이에 제한되지 않는다. HfO2에 대해 SiO2를 선택적으로 에칭하도록 구성된 일 예시적인 건식 플라즈마 에칭 프로세스가 CF4 및 O2로 생성된 플라즈마를 사용할 수도 있다. 반대로, 붕소 트리클로라이드 (BCl3) 로 생성된 플라즈마들은 HfO2 층 (412) 을 실질적으로 에칭할 수도 있다. 따라서, HfO2에 대해 SiN을 선택적으로 건식 플라즈마 에칭하기에 적합한 플라즈마들은 플루오로카본 및/또는 할로겐 플라즈마들을 포함할 수도 있다.
캡핑 층 (416) 의 제거 후, 하나 이상의 부가적인 보수 및/또는 세정 단계들은 캡핑 층 (416) 의 어닐링 및 에칭 동안 HfO2 층 (412) 에 유발될 수도 있는 모든 손상을 보수하기 위해 수행될 수도 있다. 예를 들어, 보수 단계들은 HfO2 층 (412) 상에 부가적인 HfO2 재료를 증착하기 위한 하나 이상의 HfO2 증착 사이클들을 수행하는 단계를 포함할 수도 있다.
상단 전극 (420) 이 이후 도 4e에 도시된 바와 같이 HfO2 층 (412) 상에 증착된다. 일부 예들에서, 상단 전극 (420) 은 TiN, TaN 또는 W를 포함하지만, 다른 전극 재료들 (예를 들어, Pt, Au, Pd, Al, Mo, Ni, Ti, 등) 이 사용될 수 있다. 일부 예들에서, 상단 전극 (420) 은 ALD, CVD, 또는 PVD를 사용하여 증착된다. 상단 전극 (420) 을 증착한 후, 상단 전극 (420) 은 도 4f에 도시된 바와 같이 (예를 들어, 상단 전극 (420) 상에 마스크를 증착하고, 상단 전극 (420) 을 에칭하고, 마스크를 제거하는, 등에 의해) 패터닝될 수도 있다.
이제 도 5를 참조하면, 본 개시에 따른 희생 캡핑 층을 사용하는 강유전체 HfO2 층을 형성하기 위한 일 예시적인 방법 (500) 이 (504) 에서 시작된다. (508) 에서, 기판이 제공된다. 예를 들어, 하나 이상의 하부 층들을 포함하는 기판이 기판 프로세싱 챔버 내의 기판 지지부 상에 배치된다. (512) 에서, 계면 층이 기판 상에 증착된다. 계면 층은 실리콘 다이옥사이드 (SiO2) 또는 실리콘 옥시나이트라이드 (SiON) 를 포함할 수도 있고, 그리고/또는 티타늄 나이트라이드 (TiN), 탄탈룸 나이트라이드 (TaN), 또는 텅스텐 (W) 을 포함하는 하단 전극에 대응할 수도 있다. 계면 층은 ALD, CVD 또는 PVD를 사용하여 증착될 수도 있다. (516) 에서, 도핑되거나 도핑되지 않은 HfO2 층이 (예를 들어, ALD를 사용하여) 계면 층 상에 증착된다. (520) 에서, HfO2 층의 플라즈마 처리가 선택 가능하게 수행될 수도 있다. 예를 들어, HfO2 층은 질소 가스 종을 포함하는 플라즈마에 의해 질화될 수도 있다.
(524) 에서, 캡핑 층 (예를 들어, 희생 캡핑 층) 이 HfO2 층 상에 증착된다. 예를 들어, 캡핑 층은 실리콘 나이트라이드 (SiN), 실리콘 다이옥사이드 (SiO2), 알루미늄 옥사이드 (Al2O3), 실리콘 옥시나이트라이드 (SiOxNy), 알루미늄 나이트라이드 (AlN), 알루미늄 옥시나이트라이드 (AlOxNy), 탄탈룸 펜톡사이드 (Ta2O5), 탄탈룸 옥시나이트라이드 (TaOxNy), 티타늄 옥사이드 (TiO2), 티타늄 옥시나이트라이드 (TiOxNy), 등을 포함할 수도 있다. 캡핑 층은 ALD, CVD, 또는 PVD를 사용하여 증착될 수도 있다. (528) 에서, 기판, 계면 층, HfO2 층, 및 캡핑 층은 강유전체 HfO2를 형성하기 위해 500 ℃ 내지 1100 ℃ (예를 들어, 800 ℃ 내지 1000 ℃) 범위의 미리 결정된 온도로 어닐링된다. (532) 에서, (예를 들어, 건식 에칭 또는 습식 에칭을 통해) 캡핑 층은 제거된다. (536) 에서, 하나 이상의 부가적인 보수 및/또는 세정 단계들이 선택 가능하게 수행될 수도 있다. 예를 들어, 보수 단계들은 HfO2 층 상에 부가적인 HfO2 재료를 증착하기 위한 하나 이상의 HfO2 증착 사이클들을 수행하는 단계를 포함할 수도 있다.
(540) 에서, 상단 전극 (예를 들어, TiN, TaN, 또는 W) 이 질화된 HfO2 층 상에 증착된다. 예를 들어, 상단 전극은 ALD, CVD, 또는 PVD를 사용하여 증착된다. 상단 전극은 (544) 에서 패터닝될 수도 있고 (예를 들어, 마스크가 상단 전극 상에 패터닝될 수도 있음) (548) 에서 에칭될 수도 있다. 방법 (500) 은 (552) 에서 종료된다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않더라도 임의의 다른 실시예들의 피처들에서 그리고/또는 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASICs (application specific integrated circuits) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공동의 목적을 향해 함께 네트워킹되고 작동되는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD 챔버 또는 모듈, CVD 챔버 또는 모듈, ALD 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (26)

  1. 기판 프로세싱 시스템에서 강유전체 (ferroelectric) 하프늄 옥사이드 (HfO2) 를 형성하는 방법에 있어서,
    기판 상에 HfO2 층을 증착하는 단계;
    상기 HfO2 층 상에 캡핑 (capping) 층을 증착하는 단계;
    강유전체 HfO2를 형성하기 위해 상기 HfO2 층 및 상기 캡핑 층을 어닐링하는 단계;
    상기 HfO2 층을 제거하지 않고 상기 캡핑 층을 제거하기 위해 상기 캡핑 층을 선택적으로 에칭하는 단계;
    상기 HfO2 층 내 균열들을 충진하기 위해 상기 HfO2 층 상에 박형의 HfO2 막을 증착하도록 하나 이상의 부가적인 HfO2 증착 사이클들을 수행함으로써, 상기 캡핑 층을 선택적으로 에칭하는 단계에 후속하여 상기 HfO2 층을 보수하는 단계; 및
    상기 HfO2 층을 보수하는 단계에 후속하여 상기 HfO2 층 상에 상단 전극을 증착하는 단계를 포함하는, 강유전체 HfO2를 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 캡핑 층은 실리콘 나이트라이드 (SiN), 실리콘 다이옥사이드 (SiO2), 및 알루미늄 옥사이드 (Al2O3) 로 구성된 그룹으로부터 선택된 재료를 포함하는, 강유전체 HfO2를 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 캡핑 층은 티타늄 또는 탄탈룸을 포함하지 않는, 강유전체 HfO2를 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 캡핑 층을 증착하는 단계 전에 상기 HfO2 층을 질화하는 (nitridating) 단계를 더 포함하는, 강유전체 HfO2를 형성하는 방법.
  5. 삭제
  6. 제 1 항에 있어서,
    상기 상단 전극은 티타늄, 탄탈룸, 및 텅스텐으로 구성된 그룹으로부터 선택된 재료를 포함하는, 강유전체 HfO2를 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 캡핑 층을 선택적으로 에칭하는 단계는 희석된 플루오르화수소산 (hydrofluoric acid) 용액을 사용하여 상기 캡핑 층을 습식 에칭하는 단계를 포함하는, 강유전체 HfO2를 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 캡핑 층을 선택적으로 에칭하는 단계는 플루오로카본 플라즈마 및 할로겐 플라즈마 중 적어도 하나로 생성된 플라즈마를 사용하여 상기 캡핑 층을 건식 플라즈마 에칭하는 단계를 포함하는, 강유전체 HfO2를 형성하는 방법.
  9. 제 1 항에 있어서,
    강유전체 HfO2를 형성하기 위해 상기 HfO2 층 및 상기 캡핑 층을 어닐링하는 단계는 500 내지 1000 ℃ 범위 내의 온도에서 급속 열 어닐링 프로세스를 수행하는 단계를 포함하는, 강유전체 HfO2를 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 기판 상에 하단 전극을 증착하는 단계를 더 포함하고, 상기 HfO2 층을 증착하는 단계는 상기 하단 전극 상에 상기 HfO2 층을 증착하는 단계를 포함하는, 강유전체 HfO2를 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 캡핑 층을 증착하기 전 상기 HfO2 층의 플라즈마 처리를 수행하는 단계를 더 포함하는, 강유전체 HfO2를 형성하는 방법.
  12. 삭제
  13. 삭제
  14. 프로세싱 챔버 내의 기판 상에 강유전체 하프늄 옥사이드 (HfO2) 를 형성하도록 구성된 시스템에 있어서,
    프로세싱 챔버에 프로세스 가스들을 공급하도록 구성된 가스 전달 시스템;
    상기 프로세싱 챔버 내에서 플라즈마를 선택적으로 생성하도록 구성된 무선 주파수 (Radio Frequency; RF) 생성 시스템; 및
    제어기로서, 상기 가스 전달 시스템과 상기 RF 생성 시스템을 제어함으로써,
    상기 기판 상에 HfO2 층을 증착하고,
    상기 HfO2 층 상에 캡핑 층을 증착하고,
    강유전체 HfO2를 형성하기 위해 상기 HfO2 층 및 상기 캡핑 층을 어닐링하고,
    상기 HfO2 층을 제거하지 않고 상기 캡핑 층을 제거하기 위해 상기 캡핑 층을 선택적으로 에칭하고,
    상기 HfO2 층 내 균열들을 충진하기 위해 상기 HfO2 층 상에 박형의 HfO2 막을 증착하도록 하나 이상의 부가적인 HfO2 증착 사이클들을 수행함으로써, 상기 캡핑 층을 선택적으로 에칭하는 단계에 후속하여 상기 HfO2 층을 보수하고, 그리고
    상기 HfO2 층을 보수하는 단계에 후속하여 상기 HfO2 층 상에 상단 전극을 증착하도록 구성된, 상기 제어기를 포함하는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  15. 제 14 항에 있어서,
    상기 캡핑 층은 실리콘 나이트라이드 (SiN), 실리콘 다이옥사이드 (SiO2), 및 알루미늄 옥사이드 (Al2O3) 로 구성된 그룹으로부터 선택된 재료를 포함하는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  16. 제 14 항에 있어서,
    상기 캡핑 층은 티타늄 또는 탄탈룸을 포함하지 않는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  17. 제 14 항에 있어서,
    상기 제어기는 상기 캡핑 층을 증착하기 전에 상기 HfO2 층을 질화하도록 더 구성되는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  18. 삭제
  19. 제 14 항에 있어서,
    상기 상단 전극은 티타늄, 탄탈룸, 및 텅스텐으로 구성된 그룹으로부터 선택된 재료를 포함하는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  20. 제 14 항에 있어서,
    상기 캡핑 층을 선택적으로 에칭하는 것은 희석된 플루오르화수소산 용액을 사용하여 상기 캡핑 층을 습식 에칭하는 것을 포함하는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  21. 제 14 항에 있어서,
    상기 캡핑 층을 선택적으로 에칭하는 것은 플루오로카본 플라즈마 및 할로겐 플라즈마 중 적어도 하나로 생성된 플라즈마를 사용하여 상기 캡핑 층을 건식 플라즈마 에칭하는 것을 포함하는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  22. 제 14 항에 있어서,
    강유전체 HfO2를 형성하기 위해 상기 HfO2 층 및 상기 캡핑 층을 어닐링하는 것은 500 내지 1000 ℃ 범위 내의 온도에서 급속 열 어닐링 프로세스를 수행하는 것을 포함하는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  23. 제 14 항에 있어서,
    상기 제어기는 상기 기판 상에 하단 전극을 증착하도록 더 구성되고, 상기 HfO2 층을 증착하는 것은 상기 하단 전극 상에 상기 HfO2 층을 증착하는 것을 포함하는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  24. 제 14 항에 있어서,
    상기 제어기는 상기 캡핑 층을 증착하기 전 상기 HfO2 층의 플라즈마 처리를 수행하도록 더 구성되는, 강유전체 HfO2를 형성하도록 구성된 시스템.
  25. 삭제
  26. 삭제
KR1020207031549A 2018-04-02 2019-03-26 하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료에 대한 캡핑 (capping) 층 KR102701940B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862651466P 2018-04-02 2018-04-02
US62/651,466 2018-04-02
PCT/US2019/023992 WO2019195025A1 (en) 2018-04-02 2019-03-26 Capping layer for a hafnium oxide-based ferroelectric material

Publications (2)

Publication Number Publication Date
KR20200128449A KR20200128449A (ko) 2020-11-12
KR102701940B1 true KR102701940B1 (ko) 2024-09-02

Family

ID=

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004356558A (ja) * 2003-05-30 2004-12-16 Toshio Goto コーティング装置およびコーティング方法
US20050026458A1 (en) * 2003-07-03 2005-02-03 Cem Basceri Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and constructions comprising hafnium oxide
JP2008532282A (ja) * 2005-02-25 2008-08-14 フリースケール セミコンダクター インコーポレイテッド 窒化ゲート誘電体を形成する方法
US20120299113A1 (en) * 2010-02-17 2012-11-29 Panasonic Corporation Semiconductor device and method for fabricating the same
JP2014053568A (ja) * 2012-09-10 2014-03-20 Toshiba Corp 強誘電体メモリ及びその製造方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004356558A (ja) * 2003-05-30 2004-12-16 Toshio Goto コーティング装置およびコーティング方法
US20050026458A1 (en) * 2003-07-03 2005-02-03 Cem Basceri Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and constructions comprising hafnium oxide
JP2008532282A (ja) * 2005-02-25 2008-08-14 フリースケール セミコンダクター インコーポレイテッド 窒化ゲート誘電体を形成する方法
US20120299113A1 (en) * 2010-02-17 2012-11-29 Panasonic Corporation Semiconductor device and method for fabricating the same
JP2014053568A (ja) * 2012-09-10 2014-03-20 Toshiba Corp 強誘電体メモリ及びその製造方法

Similar Documents

Publication Publication Date Title
KR102658746B1 (ko) 플라즈마 및/또는 열 처리를 사용하여 산화하프늄 기반 강유전체 재료의 성능을 개선하기 위한 방법들
TWI815806B (zh) 氣隙之建立方法
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
TWI610338B (zh) 提供多循環間隔物以製造電子裝置之方法與系統
KR20220149611A (ko) 몰리브덴의 원자 층 에칭
US11923189B2 (en) Capping layer for a hafnium oxide-based ferroelectric material
US12040193B2 (en) Efficient cleaning and etching of high aspect ratio structures
US9870932B1 (en) Pressure purge etch method for etching complex 3-D structures
KR102649015B1 (ko) 하프늄 나이트라이드 층들을 갖는 하프늄 옥사이드의 강유전체 (ferroelectric) 속성들 개질
KR102701940B1 (ko) 하프늄 옥사이드 기반 강유전체 (ferroelectric) 재료에 대한 캡핑 (capping) 층
WO2020198502A1 (en) Etch stop layer
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US20200098562A1 (en) Dual frequency silane-based silicon dioxide deposition to minimize film instability
KR102704250B1 (ko) 초고 선택성 나이트라이드 에칭을 위한 시스템들 및 방법들