JP2009218265A - 半導体装置および半導体装置の製造方法 - Google Patents

半導体装置および半導体装置の製造方法 Download PDF

Info

Publication number
JP2009218265A
JP2009218265A JP2008057744A JP2008057744A JP2009218265A JP 2009218265 A JP2009218265 A JP 2009218265A JP 2008057744 A JP2008057744 A JP 2008057744A JP 2008057744 A JP2008057744 A JP 2008057744A JP 2009218265 A JP2009218265 A JP 2009218265A
Authority
JP
Japan
Prior art keywords
insulating film
gate
gate electrode
film
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008057744A
Other languages
English (en)
Inventor
Kojiro Nagaoka
弘二郎 長岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP2008057744A priority Critical patent/JP2009218265A/ja
Priority to US12/364,343 priority patent/US7923762B2/en
Priority to TW098104107A priority patent/TWI408809B/zh
Priority to CN200910009254.9A priority patent/CN101527316B/zh
Priority to KR1020090019200A priority patent/KR20090096360A/ko
Publication of JP2009218265A publication Critical patent/JP2009218265A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • H01L29/7813Vertical DMOS transistors, i.e. VDMOS transistors with trench gate electrode, e.g. UMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】埋め込みゲート電極脇の材料層の後退が防止され、これによって特性の向上が図られた半導体装置を提供する。
【解決手段】半導体基板1上に溝パターンaを有して設けられた絶縁膜100と、溝パターンaの内壁を覆う状態で設けられたゲート絶縁膜9と、ゲート絶縁膜9を介して溝パターンa内を埋め込むと共に、絶縁膜100上における溝パターンaの両側に溝パターンaよりも幅広に張り出して形成されたゲート電極101とを備えたことを特徴とする半導体装置104。
【選択図】図4

Description

本発明は、半導体装置および半導体装置の製造方法に関し、特にはメタルゲート電極を備えた半導体装置およびその製造方法に関する。
トランジスタの世代が進む中で、微細化によるスケーリングも絶え間なく行われている。国際半導体技術ロードマップ(ITRS:International Technology Roadmap for Semiconductors)上では、hp(half pitch)32nm世代と呼ばれているトランジスタで、20nm以下のゲート長(Lg)が予想されている。この世代のトランジスタに対しては、ゲート長のスケーリングと合わせて、駆動能力(Ids)の確保のためにゲート絶縁膜の実効膜厚(EOT:Effective Oxide Thickness)をスケーリングし、さらに短チャネル効果(SCE:Short Channel Effect)の抑制のために拡散層の深さ(Xj)もスケーリングする必要がある。
このうち、ゲート絶縁膜の実効膜厚をスケーリングする技術としては、ゲート絶縁膜として酸化シリコン膜に代わって高誘電率(High−k)絶縁膜を導入する構成の他、ポリシリコンゲート電極に代えてメタルゲート電極を導入してゲート空乏化を抑制する技術が検討されている。
ここで、メタルゲート電極に使用される材料、例えば、タングステン(W)、チタン(Ti)、ハフニウム(Hf)、ルテニウム(Ru)、Ir(イリジウム)は、反応性の高い材料である。このため、高温で熱処理をするとゲート絶縁膜と反応して、ゲート絶縁膜の膜質劣化を引き起こす。従って、メタルゲート電極を形成した後には、高温の熱処理を行わないことが好ましい。これを実現する方法の1つとして、図5および図6に示す埋め込みゲートプロセス(いわゆるダマシンゲートプロセス)が提案されている(下記非特許文献1参照)。
埋め込みゲートプロセスでは、先ず図5(1)に示すように、半導体基板1上にダミーゲート絶縁膜2を介して、ポリシリコンからなるダミーゲート3およびハードマスク層4を積層形成する。次に、これらの側壁に、酸化シリコン膜や窒化シリコン膜からなるスペーサ絶縁膜5a、第1サイドウォール絶縁膜5b、および第2サイドウォール絶縁膜5c等の側壁絶縁層を形成する。また、半導体基板1の表面側にソース/ドレイン1sdを形成し、この表面側にシリサイド層6を形成する。
次に、図5(2)に示すように、半導体基板1の上方を窒化シリコンからなるライナー絶縁膜7で覆い、さらに酸化シリコンからなる層間絶縁膜8で覆う。その後、図5(3)に示すように、層間絶縁膜8、ライナー絶縁膜7、およびハードマスク層4などをCMP法によって研磨することでダミーゲート3を露出させる。次いで図5(4)に示すように、ダミーゲート3およびダミーゲート絶縁膜2を順次選択的にエッチング除去し、ゲート電極用の溝パターンaを形成する。
次に、図5(5)に示すように、溝パターンaの内壁を覆う状態で、金属酸化膜や金属窒化膜のような高誘電体材料(例えばHfO2)等からなるゲート絶縁膜9を成膜する。次いで、図5(6)に示すように、ハフニウムシリサイド(HfSix)からなる第1下地電極材料膜10a、窒化チタン(TiN)からなる第2下地電極材料膜10b、およびタングステン(W)からなる主電極材料膜10cを順次積層した電極材料膜を成膜し、溝パターンa内を埋め込む。
その後、図6(1)に示すように、電極材料膜10a〜10cをCMP法によって研磨することで、溝パターンa内のみに電極材料膜10a〜10cを残してゲート電極10を形成する。その後、図6(2)に示すように、ゲート電極10を覆う状態で半導体基板1上に酸化シリコンからなる上層絶縁膜11を成膜する。その後、図6(3)に示すように、上層絶縁膜11をパターンエッチングすることで、ゲート電極10に達する接続孔11aを形成する。
次に、図6(4)に示すように、フッ酸を用いたエッチングを前処理として行った後、下地導電膜12aと主導電膜12bとを順次積層させた導電材料膜を成膜し、接続孔11a内を埋め込む。その後、図6(5)に示すように、導電材料膜12a,12bをCMP法によって研磨することで、接続孔11a内のみに導電材料膜12a,12bを残してコンタクトプラグ12を形成する。以上の後には、コンタクトプラブ12に接続する状態でここでの図示を省略した配線を上層絶縁膜11上に形成する。
Atsushi Yagishita et al.,"High Performance Metal Gate MOSFETs Fabricated by CMP for 0.lμm Regime", International Electron Devices Meeting 1998 Technical Digest pp.785-788(1998)
しかしながら、上述したような埋め込みゲートプロセスを適用した製造方法では、図6(3)に示すように、酸化シリコンからなる上層絶縁膜11にゲート電極10に達する接続孔11aを形成する際のエッチングにおいて、HfO2等からなるゲート絶縁膜9、およびハフニウムシリサイド(HfSix)からなる第1下地電極材料膜10aのエッチングが進む。特に、次工程の導電材料膜12a,12bを成膜する前処理としてフッ酸を用いたエッチングを行うことにより、これらの層のエッチングがさらに進み、ゲート電極10の脇に隙間Aが形成される。
これにより、次の図6(4)に示した工程において、接続孔11a内を埋め込む状態で導電材料膜12a,12bを積層成膜した場合に、ゲート電極10脇の隙間Aにこれらの導電材料膜12a,12bが埋め込まれ難くボイドbが発生し易い。このようなボイドbの発生は、ゲート電極10とコンタクトプラグ12との接続抵抗を増大させる要因となる。
また、上述したエッチングによってゲート絶縁膜9も後退するため、ゲート電極10の下方においてゲート絶縁膜9が薄膜化して耐圧劣化が生じる要因となる。
さらに、ゲート電極10脇のエッチングは各材料層毎にそれぞれに進むため、ゲート電極10脇には異なる種類の導電性材料10a,12aが混在することにもなる。これにより、コンタクトプラグ12の形成条件の最適化が図り難く、得られる半導体装置の特性の変動やバラツキの要因となる。
そこで本発明は、埋め込みゲート電極脇の材料膜の後退が防止され、これによって特性の向上が図られた半導体装置を提供すること、およびこのような半導体装置の製造方法を提供することを目的とする。
このような目的を達成するための本発明の半導体装置は、半導体基板上に溝パターンを有して設けられた絶縁膜と、溝パターンの内壁を覆う状態で設けられたゲート絶縁膜と、ゲート絶縁膜を介して溝パターン内を埋め込むと共に、絶縁膜上における溝パターンの両側に当該溝パターンよりも幅広に張り出して形成されたゲート電極とを備えている。
また本発明の半導体装置の製造方法は、このような構成の半導体装置を製造する方法であり、次の工程を順に行う。先ず、第1工程では、半導体基板上にダミーゲートを形成し、当該ダミーゲートを覆う状態で絶縁膜を成膜する。次に第2工程では、絶縁膜から前記ダミーゲートを露出させた後、当該ダミーゲートを除去することにより、半導体基板を底部に露出させた溝パターンを当該絶縁膜に形成する。その後第3工程では、ゲート絶縁膜を介して前記溝パターン内を埋め込む電極材料膜を成膜する。そして第4工程では、絶縁膜上における溝パターンの両側で当該溝パターンよりも幅広に張り出した形状に前記電極材料膜をパターニングすることにより、当該電極材料膜からなるゲート電極を形成する。
このような構成の半導体装置では、溝パターンの開口部分がゲート電極によって完全に覆われた状態となり、溝パターンの側壁とゲート絶縁膜およびゲート電極との境界部分がゲート電極によって保護される。このため、例えばゲート電極を覆う状態で上層絶縁膜を設け、この上層絶縁膜に対してゲート電極に達する接続孔を設けた場合であっても、この接続孔の底面に上記境界部分が露出することはなく、境界部分を保護した状態に保つことができる。
以上説明したように本発明によれば、溝パターン内にゲート絶縁膜を介して埋め込まれたゲート電極と溝パターンの側壁との境界部分をゲート電極で覆って保護することができるため、この境界部分に設けられた材料層の後退によるボイドの発生などを防止することができ、これによって特性の向上が図られた半導体装置を得ることが可能になる。
以下本発明の実施の形態を、埋め込みゲートプロセスによって形成したメタルゲート電極を有する絶縁ゲート電界効果トランジスタ(いわゆるMOSトランジスタ)に適用して説明を行う。ここでは、先ず半導体装置の製造方法を説明し、次いでこの製造方法によって得られる半導体装置の構成を説明する。また、図5、図6を用いて説明した従来技術と同様の構成要素には同一の符号を付して説明を行う。
<半導体装置の製造方法>
図1〜図4は実施形態の製造方法を説明する断面工程図である。このうち、図1〜図2に示す前半の工程は、従来技術として図5を用いて説明した手順と同様に行って良く、次にその詳細を説明する。
すなわち先ず図1(1)に示すように、例えば単結晶シリコンからなる半導体基板1を用意する。そして、ここでの図示は省略したが、半導体基板1の表面側に、活性領域を区画するための酸化シリコンからなる素子分離絶縁膜を形成し、さらにnチャネル型絶縁ゲート電界効果トランジスタを形成する活性領域にはpウェルを形成し、pチャネル型絶縁ゲート電界効果トランジスタを形成する活性領域にはnウェルを形成し、またそれぞれの活性領域の表面側にチャネル拡散層(図示省略)を形成する。
そして各領域が形成された半導体基板1の上部に、酸化シリコン(SiO2)からなるダミーゲート絶縁膜2を介して、ポリシリコン(poly−Si)からなるダミーゲート3、および窒化シリコン(SiN)からなるハードマスク層4を積層してなるゲート電極構造体Gを形成する。ここでは、半導体基板1上に各層を積層成膜した後に、これらの積層膜をゲート電極形状にパターンエッチングしてゲート電極構造体Gを形成する。尚、ダミーゲート3は、例えば50nm〜10nm程度の高さであり、30nm程度の幅であることとする。
次に、ゲート電極構造体Gの側壁に窒化シリコン(SiN)からなるスペーサ絶縁膜5aを形成する。そして、ゲート電極構造体Gおよびスペーサ絶縁膜aをマスクにした不純物導入により、半導体基板1の表面層にソース/ドレインのエクステンション領域1exを形成する。
その後、ゲート電極構造体Gの側壁に、さらに酸化シリコン(SiO2)からなる第1サイドウォール絶縁膜5bと、窒化シリコン(SiN)からなる第2サイドウォール絶縁膜5cとを形成する。この際、酸化シリコン膜(第1サイドウォール絶縁膜材料)と窒化シリコン膜(第2サイドウォール絶縁膜材料)とをこの順に積層成膜し、この積層膜を異方性エッチングすることにより、ゲート電極構造体Gの側壁にのみに窒化シリコン膜と酸化シリコン膜とを残す。これにより、第1サイドウォール絶縁膜5bと第2サイドウォール絶縁膜5cとを形成する。
次いで、ゲート電極構造体G、およびこの側壁の絶縁膜5a,5b,5cをマスクにした不純物導入により、半導体基板1の表面層にソース/ドレイン領域1sdを形成する。その後、ソース/ドレイン領域1sdの露出表面層、すなわち単結晶シリコンからなる半導体基板1の露出表面層をシリサイド化させてシリサイド層6を形成する。
次に、図1(2)に示すように、ゲート電極構造体Gやシリサイド層6を覆う状態で、半導体基板1の上方に窒化シリコン(SiN)からなるライナー絶縁膜7を成膜し、さらに酸化シリコン(SiO2)からなる層間絶縁膜8を成膜する。この層間絶縁膜8は、ゲート電極構造体Gによる凹凸を埋め込む膜厚で成膜する。
ついで、図1(3)に示すように、層間絶縁膜8の上方から、層間絶縁膜8、ライナー絶縁膜7、およびハードマスク層4を順にCMP法によって研磨することで、ダミーゲート3を露出させる。これにより、研磨面には、ダミーゲート3が露出し、さらにゲート電極構造体G側壁の絶縁膜5a,5b,5c、ライナー絶縁膜7、および層間絶縁膜8が露出した状態となる。
次いで図2(1)に示すように、ポリシリコン(poly−Si)からなるダミーゲート3および酸化シリコン(SiO2)からなるダミーゲート絶縁膜2を順次選択的にエッチング除去し、この除去部分に埋め込みゲート電極形成用の溝パターンaを形成する。これにより、半導体基板1上に、溝パターンaを備えた絶縁膜100が設けられた構成となる。尚、溝パターンaを備えた絶縁膜100は、絶縁膜5a,5b,5c、さらにはライナー絶縁膜7、および層間絶縁膜8からなり、また溝パターンaの側壁を構成する側壁絶縁層として絶縁膜5a,5b,5cが設けられた構成となっている。そして、ここで形成される溝パターンaは、ダミーゲート3の膜厚と同程度の深さと幅であって、例えば深さ50nm〜10nm程度であり、開口幅Wa=30nm程度であることとする。
以上の後、図2(2)に示すように、溝パターンaの内壁を覆う状態で、ゲート絶縁膜9を成膜する。ゲート絶縁膜9を構成する材料としては、従来から一般的に用いられているSiO2系材料、SiOF系材料あるいはSiN系材料の他、比誘電率k(=ε/ε0)が概ね4.0以上のいわゆる高誘電体材料を挙げることができる。高誘電体材料としては、酸化ジルコニウム(ZrO2)、酸化ハフニウム(HfO2)、酸化アルミニウム(Al23)、酸化イットリウム(Y23)、酸化ランタン(La2O)といった金属酸化膜や、金属窒化膜を例示することができる。ゲート絶縁膜は1種類の材料から形成されていてもよいし、複数種類の材料から形成されていてもよい。また、ゲート絶縁膜は単一膜(複数の材料から成る複合膜を含む)であってもよいし、積層膜であってもよい。nチャネル型絶縁ゲート電界効果トランジスタのゲート絶縁膜とpチャネル型絶縁ゲート電界効果トランジスタのゲート絶縁膜とは、同一材料から成る構成とすることもできるし、それぞれ異なる材料から成る構成とすることもできる。
以上のようなゲート絶縁膜は広く周知の方法により形成することができる。特に、上述した高誘電体材料から成るゲート絶縁膜を形成する方法として、ALD(Atomic Layer Deposition)法、有機金属化学的気相成長法(MOCVD法)、スパッタリング法等を例示することができる。例えば、酸化ハフニウムからなるゲート絶縁膜9であれば、塩化ハフニウム(HfCl2)とアンモニア(NH3)を用いたCVD法、または有機系のハフニウム(Hf)ガスを用いたCVD法によって成膜される。また他の成膜方法としては、ハフニウム窒化物のターゲットを用いたスパッタリング法によって窒化ハフニウム膜を成膜し、当該窒化ハフニウム膜を酸化することによっても成膜される。
ここでは、一例として、膜厚3.0nm程度の膜厚の酸化ハフニウム(HfO2)からなるゲート絶縁膜9を成膜することとする。
次いで、図2(3)に示すように、第1下地電極材料膜10a、第2下地電極材料膜10b、および主電極材料膜10cを順次積層した電極材料膜10a〜10cを成膜し、溝パターンa内を埋め込む。これらの電極材料膜10a〜10cは、例えばそれぞれが金属材料を用いて構成されていることとする。
ここでは、例えばハフニウムシリサイド(HfSix)からなる第1下地電極材料膜10aをnMOS用電極として成膜する。この際、ハフニウムシリサイド(HfSix)からなる第1下地電極材料膜10aは、例えばスッパッタ法によって、およそ15nmの膜厚で成膜する。
次に、窒化チタン(TiN)からなる第2下地電極材料膜10bをバリア層として成膜する。この際、窒化チタン(TiN)からなる第2下地電極材料膜10bは、例えばCVD法、スパッタ法、ALD法(Atomic Layer Deposition)などによって成膜する。このうちALD法では、アンモニア(NH3)ガス、テトラクロロチタン(TiCl4)ガスを交互に成膜室内に導入し、窒化チタン(TiN)からなる第2下地電極材料膜10bをおよそ10nm程度の膜厚で成膜する。
その後、金属を用いた主電極材料膜10cを成膜する。主導電層10cを構成する材料としては、タングステン(W)、チタン(Ti)、ハフニウム(Hf)、ルテニウム(Ru)、Ir(イリジウム)などの金属、またはこれらの金属の合金、さらには金属化合物が用いられる。このような主電極材料膜10cは、例えばCVD法によって成膜する。この際、6フッ化タングステン、水素、シランガスを用い、成膜温度350℃で成膜を行い、およそ200nmの膜厚で成膜する。
以上までは、従来と同様の手順で行って良く、本実施形態においては次の図3および図4に示す工程が特徴的である。
すなわち、先ず図3(1)に示すように、電極材料膜10a〜10cをパターニングすることにより、ゲート電極101を形成する。この際、溝パターンaの両側において、溝パターンaよりも幅広に張り出した形状に電極材料膜10a〜10cをパターニングすることが重要である。これにより、溝パターンa側壁と、ゲート絶縁膜9およびゲート電極101との境界部分を保護しながら電極材料膜10a〜10cのパターニングを行うためである。
このため、絶縁膜100上におけるゲート電極101の線幅W101を、溝パターンaの開口幅Waよりも十分に大きく設定する。またゲート電極101の線幅W101は、側壁絶縁層5a〜5cbのうちの少なくとも酸化シリコン(SiO)で構成された層、つまりここでは第1サイドウォール絶縁膜5bを覆う幅であることが好ましく、また以降に形成する接続孔の開口幅よりも大きく設定されることが好ましい。
以上のような電極材料膜10a〜10cのパターンエッチングによるゲート電極101の形成は、リソグラフィー技術によってレジストパターンを形成し、このレジストパターンをマスクにして電極材料膜10a〜10cをエッチングすることによって行う。電極材料膜10a〜10cのエッチングは、例えば次のように行う。
[主電極層(W)10cおよび第2下地電極層(TiN)10b]
使用ガス :Cl2/CF4=50sccm/100sccm
バイアスパワー:150W
圧力 :1.1Pa
[第1下地電極層(HfSix)10a]
使用ガス :Cl2/BCl3=35sccm/10sccm
ソースパワー :1000W
バイアスパワー:150W
圧力 :1.3Pa(10ミリトル)
基板温度 :40゜C
以上のようなゲート電極101の形成においては、例えば電極材料膜10a〜10cのパターニングの際にマスクとして形成するレジストのパターニング精度を考慮し、溝パターンaの端部とゲート電極101の端部との距離dを150nm程度以上に設定する。
尚、以上のようなHfSixからなる第1下地電極層10aのエッチングにおいては、HfO2からなるゲート絶縁膜9のエッチングも進むため、ゲート電極101の同様の形状にパターニングされる。ただし、ゲート絶縁膜9は、エッチングによってパターニングされなくても良い。
また、絶縁膜100上におけるゲート電極101の線幅W101と溝パターンaの開口幅Waとは上述した関係に保たれ、かつ下層に配置されるシリサイド層6などの導電層との間の絶縁性を確保できれば、このゲート電極101を絶縁膜100上においてそのまま配線としてパターニングしても良い。
次に、図3(2)に示すように、ゲート電極101を覆う状態で酸化シリコン(SiO2)等からなる上層絶縁膜102を成膜する。上層絶縁膜102の成膜は、HDP(High-Density Plasma)CVD法、常圧CVD法、またはプラズマCVD法などを適用して行う。
次に、図3(3)に示すように、上層絶縁膜102に、ゲート電極101に達する接続孔102aを形成する。ここでは、リソグラフィー技術によってレジストパターンを形成し、このレジストパターンをマスクにして上層絶縁膜102をエッチングすることによって接続孔102aを形成する。この時のエッチング条件は、従来と同様のドライエッチングを用いればよい。
ここで形成する接続孔102aの開口幅W102は、接続孔102a内に設けられるプラグの導電性を考慮して設定される。例えばこの接続孔102a内にタングステン(W)からなるコンタクトプラグを形成する場合であれば、その導電性を考慮して開口幅W102=60nm程度に形成されることとする。このように、一般的には接続孔102aの開口幅W102は、溝パターンaの開口幅Wa(=30nm程度)よりも大きい。
本実施形態においては、以上のような接続孔102aの開口幅102aは、絶縁膜100上におけるゲート電極101の線幅W101よりも小さく、接続孔102aの底部がゲート電極101のみで構成されることが好ましい。これにより、接続孔102a形成の際のエッチングが絶縁膜100に対して影響を及ぼすことを完全に防止できる。したがって、絶縁膜100上におけるゲート電極101の線幅W101は、60nmよりも大きく形成されることが好ましい。
尚、この工程においては、ゲート電極101に達する接続孔102aを形成すると同時に、半導体基板1のソース/ドレイン1sdのシリサイド層6に達する接続孔を形成しても良い。また、接続孔102a形成の際のエッチングが絶縁膜100のエッチングに対して影響を及ぼさない範囲であれば、接続孔102aの開口幅W102は、絶縁膜100上におけるゲート電極101の線幅W101より大きくても良い。
以上の後の工程は、従来技術として図6(4),(5)を用いて説明した手順と同様に行って良く、次にその詳細を説明する。
先ず、以降に行うコンタクトプラグ形成工程に対する前処理として自然酸化膜を除去する工程を行う。ここでは、希フッ酸などの薬液処理や、アルゴン(Ar)ガスなどを用いたスパッタリング、フッ素のラジカルエッチングなどを適用すれば良い。
次に図4(1)に示すように、下地導電膜12aと主導電膜12bとを順次積層させた導電材料膜を成膜し、接続孔11a内を埋め込む。
下地導電膜12aはバリア層として設けられ、下層にチタン層、上層に窒化チタン層を積層した2層構造で形成する。下層チタン層は、ゲート電極101上に数nmあればよく、従来のスパッタリング法を用いて形成できる。上層の窒化チタン層は、スパッタリング法や、CVD法、ALD法により形成できる。これらの条件も、従来用いている条件でよく、膜厚も従来用いている膜厚でよい。
主配線膜12bとしては、例えば従来のCVD法を適用してタングステン膜を成膜する。
次に、図4(2)に示すように、配線材料膜12a,12bをCMP法によって研磨することで、接続孔102a内のみに配線材料膜12a,12bを残してコンタクトプラグ12を形成する。
また以上の後には、コンタクトプラブ12に接続する状態でここでの図示を省略した配線を上層絶縁膜11上に形成し、半導体装置104を完成させる。
尚、上層絶縁膜102に形成される接続孔102aのアスペクト比が十分に小さい構成であれば、例えばアルミニウムのような導電性良好な材料膜で接続孔102a内を埋め込み、この材料膜を上層絶縁膜102上においてパターニングすることでゲート電極101に接続される配線を形成しても良い。
<半導体装置>
以上のようにして形成された半導体装置104は、単結晶シリコンからなる半導体基板1上に、開口幅Waの溝パターンaを有する形状の絶縁膜100が設けられている。この溝パターンaの内壁を覆う状態でゲート絶縁膜9が設けられ、ゲート絶縁膜9を介して溝パターンa内を埋め込む状態でゲート電極101が設けられている。
ゲート絶縁膜9は、金属酸化膜または金属窒化膜からなる高誘電体材料で構成されていることが好ましく、これによりゲート絶縁膜9の実効膜厚をスケーリングすることができる。
そして特に、このゲート電極101は、絶縁膜100上における溝パターンaの両側において、溝パターンaよりも幅広に張り出して形成されているところが特徴的である。ここで、溝パターンaが形成された絶縁膜100は、溝パターンaの側壁を構成する側壁絶縁層として、スペーサ絶縁膜5a、酸化シリコン(SiO2)からなる第1サイドウォール絶縁膜5bと、窒化シリコン(SiN)からなる第2サイドウォール絶縁膜5cとを備えている。このような構成において、ゲート電極101の線幅W101は、側壁絶縁層5a〜5cbのうちの少なくとも酸化シリコン(SiO)で構成された層、つまりここでは第1サイドウォール絶縁膜5bを覆う幅であることが好ましい。
尚、このゲート電極101は、金属材料を用いて構成された、いわゆるメタルゲート電極であることが好ましく、これによりゲート空乏化を抑制することが可能である。このようなゲート電極101は、例えば上述したようにハフニウムシリサイド(HfSix)からなる第1下地電極材料膜10aと窒化チタン(TiN)からなる第2下地電極材料膜10bと、タングステン(W)、チタン(Ti)、ハフニウム(Hf)、ルテニウム(Ru)、Ir(イリジウム)などからなる主材料層10cとの積層構造で構成される。
そして、絶縁膜100上には、ゲート電極101を覆う上層絶縁膜102が設けられており、この上層絶縁膜102には、ゲート電極101に達する接続孔102aが形成されている。このような構成において、接続孔102aは、開口幅W102が、溝パターンaの開口幅Waよりも大きく、絶縁膜100上におけるゲート電極101の線幅W101よりも小さいことが好ましい。
以上のような実施形態の製造方法およびこれによって得られた半導体装置104では、溝パターンaの開口部分がゲート電極101によって完全に覆われた状態となる。これにより、溝パターンaの側壁と、ゲート絶縁膜9およびゲート電極101との境界部分がゲート電極101によって保護される。このため、ゲート電極101を覆う状態で上層絶縁膜102に対してゲート電極101に達する接続孔102aを設けた場合であっても、この接続孔102aの底面に上記境界部分が露出することはない。
この結果、溝パターンa内にゲート絶縁膜9を介して埋め込まれたゲート電極101と溝パターンaの側壁との境界部分をゲート電極101で覆って保護することができるため、この境界部分に設けられた材料層の後退によるボイドの発生などを防止することができ、これによって特性の向上が図られた半導体装置を得ることが可能になる。
実施形態の製造方法を説明する断面工程図(その1)である。 実施形態の製造方法を説明する断面工程図(その2)である。 実施形態の製造方法を説明する断面工程図(その3)である。 実施形態の製造方法および半導体装置を説明する断面工程図(その4)である。 従来の製造方法を説明する断面工程図(その1)である。 従来の製造方法を説明する断面工程図(その2)である。
符号の説明
1…半導体基板、3…ダミーゲート、5a…スペーサ絶縁膜(側壁絶縁層)、5b…第1サイドウォール絶縁膜(側壁絶縁層)、5c…第2サイドウォール絶縁膜(側壁絶縁層)、7…ライナー絶縁膜、8…層間絶縁膜、9…ゲート絶縁膜、10a…第1下地電極材料膜、10b…第2下地電極材料膜、10c…主電極材料膜、100…絶縁膜、101…ゲート電極、102…上層絶縁膜、102a…接続孔、104…半導体装置、a…溝パターン、Wa…接続孔の開口幅

Claims (9)

  1. 半導体基板上に溝パターンを有して設けられた絶縁膜と、
    前記溝パターンの内壁を覆う状態で設けられたゲート絶縁膜と、
    前記ゲート絶縁膜を介して前記溝パターン内を埋め込むと共に、前記絶縁膜上における前記溝パターンの両側に当該溝パターンよりも幅広に張り出して形成されたゲート電極とを備えた
    ことを特徴とする半導体装置。
  2. 請求項1記載の半導体装置において、
    前記ゲート電極を覆うと共に当該ゲート電極に達する接続孔を備えた状態で前記絶縁膜上に設けられた上層絶縁膜を有する
    ことを特徴とする半導体装置。
  3. 請求項2記載の半導体装置において、
    前記接続孔の開口幅は、前記溝パターンの開口幅よりも大きい
    ことを特徴とする半導体装置。
  4. 請求項2記載の半導体装置において、
    前記接続孔の開口幅は、前記絶縁膜上における前記ゲート電極の幅よりも小さい
    ことを特徴とする半導体装置。
  5. 請求項1記載の半導体装置において、
    前記絶縁膜は、前記溝パターンの側壁を構成する側壁絶縁層を備えて構成され、
    前記ゲート電極は、前記側壁絶縁層を覆う状態で構成されている
    ことを特徴とする半導体装置。
  6. 請求項1記載の半導体装置において、
    前記ゲート電極は、金属材料を用いて構成されている
    ことを特徴とする半導体装置。
  7. 請求項1記載の半導体装置において、
    前記ゲート絶縁膜は、金属酸化膜または金属窒化膜からなる
    ことを特徴とする半導体装置。
  8. 半導体基板上にダミーゲートを形成し、当該ダミーゲートを覆う状態で絶縁膜を成膜する第1工程と、
    前記絶縁膜から前記ダミーゲートを露出させた後、当該ダミーゲートを除去することにより前記半導体基板を底部に露出させた溝パターンを当該絶縁膜に形成する第2工程と、
    ゲート絶縁膜を介して前記溝パターン内を埋め込む電極材料膜を成膜する第3工程と、
    前記絶縁膜上における前記溝パターンの両側で当該溝パターンよりも幅広に張り出した形状に前記電極材料膜をパターニングすることにより、当該電極材料膜からなるゲート電極を形成する第4工程とを行う
    ことを特徴とする半導体装置の製造方法。
  9. 請求項8記載の半導体装置の製造方法において、
    前記第4工程の後、
    前記ゲート電極を覆う状態で上層絶縁膜を形成し、当該ゲート電極に達する接続孔を当該ゲート絶縁膜に形成する
    ことを特徴とする半導体装置の製造方法。
JP2008057744A 2008-03-07 2008-03-07 半導体装置および半導体装置の製造方法 Pending JP2009218265A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2008057744A JP2009218265A (ja) 2008-03-07 2008-03-07 半導体装置および半導体装置の製造方法
US12/364,343 US7923762B2 (en) 2008-03-07 2009-02-02 Semiconductor device and method of manufacturing the same
TW098104107A TWI408809B (zh) 2008-03-07 2009-02-09 半導體裝置
CN200910009254.9A CN101527316B (zh) 2008-03-07 2009-02-25 半导体装置及其制造方法
KR1020090019200A KR20090096360A (ko) 2008-03-07 2009-03-06 반도체 장치 및 반도체 장치의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008057744A JP2009218265A (ja) 2008-03-07 2008-03-07 半導体装置および半導体装置の製造方法

Publications (1)

Publication Number Publication Date
JP2009218265A true JP2009218265A (ja) 2009-09-24

Family

ID=41052725

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008057744A Pending JP2009218265A (ja) 2008-03-07 2008-03-07 半導体装置および半導体装置の製造方法

Country Status (5)

Country Link
US (1) US7923762B2 (ja)
JP (1) JP2009218265A (ja)
KR (1) KR20090096360A (ja)
CN (1) CN101527316B (ja)
TW (1) TWI408809B (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8181074B2 (en) * 2007-12-20 2012-05-15 Oracle America, Inc. Soft error recoverable storage element and soft error protection technique
US8564063B2 (en) * 2010-12-07 2013-10-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
TWI485782B (zh) * 2010-12-07 2015-05-21 United Microelectronics Corp 具有金屬閘極之半導體元件及其製作方法
US8536645B2 (en) * 2011-02-21 2013-09-17 International Rectifier Corporation Trench MOSFET and method for fabricating same
US8642424B2 (en) * 2011-07-12 2014-02-04 International Business Machines Corporation Replacement metal gate structure and methods of manufacture
US20140073106A1 (en) 2012-09-12 2014-03-13 International Business Machines Corporation Lateral bipolar transistor and cmos hybrid technology
CN103730341B (zh) * 2012-10-10 2018-02-13 中国科学院微电子研究所 半导体器件制造方法
CN103794507A (zh) * 2012-11-05 2014-05-14 中国科学院微电子研究所 后栅工艺中器件隔离方法
US10535558B2 (en) * 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002026309A (ja) * 2000-07-11 2002-01-25 Nec Corp 電界効果型トランジスタの製造方法
JP2005019892A (ja) * 2003-06-27 2005-01-20 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005026707A (ja) * 2004-09-13 2005-01-27 Toshiba Corp 半導体装置及びその製造方法
JP2005116592A (ja) * 2003-10-03 2005-04-28 Takehide Shirato 電界効果トランジスタ
JP2006073704A (ja) * 2004-09-01 2006-03-16 Seiko Epson Corp 半導体装置の製造方法
JP2006310524A (ja) * 2005-04-28 2006-11-09 Sony Corp 半導体装置およびその製造方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3164152A (en) * 1962-02-05 1965-01-05 Nicoll Esmond D Vere Inflatable splint
US4375217A (en) * 1980-06-04 1983-03-01 The Kendall Company Compression device with pressure determination
US4402312A (en) * 1981-08-21 1983-09-06 The Kendall Company Compression device
USD358216S (en) * 1993-09-16 1995-05-09 The Kendall Company Sleeve for applying compressive pressure to the leg
US5795312A (en) * 1993-09-27 1998-08-18 The Kendall Company Compression sleeve
USD383546S (en) * 1996-05-30 1997-09-09 Bristol-Myers Squibb Company Liquid therapy pad
US6117164A (en) * 1997-06-06 2000-09-12 Dj Orthopedics, Llc Flexible multijoint therapeutic pads
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6436064B1 (en) * 1999-04-30 2002-08-20 Richard J. Kloecker Compression garment for selective application for treatment of lymphedema and related illnesses manifested at various locations of the body
US6846295B1 (en) * 2000-11-20 2005-01-25 Mego Afek Industrial Measuring Instruments Compression sleeve
JP3793190B2 (ja) * 2003-09-19 2006-07-05 株式会社東芝 半導体装置の製造方法
USD523147S1 (en) * 2004-02-23 2006-06-13 Tyco Healthcare Group Lp Compression sleeve
US7871387B2 (en) * 2004-02-23 2011-01-18 Tyco Healthcare Group Lp Compression sleeve convertible in length
US7354410B2 (en) * 2004-02-23 2008-04-08 Tyco Healthcare Group Lp Compression treatment system
US20070118194A1 (en) * 2005-11-22 2007-05-24 Breg, Inc. Non-ambient temperature therapy system with automatic treatment temperature maintenance
US8029451B2 (en) * 2005-12-12 2011-10-04 Tyco Healthcare Group Lp Compression sleeve having air conduits
US7931606B2 (en) * 2005-12-12 2011-04-26 Tyco Healthcare Group Lp Compression apparatus
US20080249559A1 (en) * 2007-04-09 2008-10-09 Tyco Healthcare Group Lp Compression device with improved moisture evaporation
US8235923B2 (en) * 2008-09-30 2012-08-07 Tyco Healthcare Group Lp Compression device with removable portion
US8523794B2 (en) * 2009-09-17 2013-09-03 Milka Llc Method and apparatus for treating lymphedema

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002026309A (ja) * 2000-07-11 2002-01-25 Nec Corp 電界効果型トランジスタの製造方法
JP2005019892A (ja) * 2003-06-27 2005-01-20 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005116592A (ja) * 2003-10-03 2005-04-28 Takehide Shirato 電界効果トランジスタ
JP2006073704A (ja) * 2004-09-01 2006-03-16 Seiko Epson Corp 半導体装置の製造方法
JP2005026707A (ja) * 2004-09-13 2005-01-27 Toshiba Corp 半導体装置及びその製造方法
JP2006310524A (ja) * 2005-04-28 2006-11-09 Sony Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN101527316A (zh) 2009-09-09
TW200945583A (en) 2009-11-01
US20090224338A1 (en) 2009-09-10
TWI408809B (zh) 2013-09-11
CN101527316B (zh) 2012-01-18
KR20090096360A (ko) 2009-09-10
US7923762B2 (en) 2011-04-12

Similar Documents

Publication Publication Date Title
TWI655680B (zh) 半導體裝置及其形成方法
JP4770885B2 (ja) 半導体装置
US9041118B2 (en) Replacement metal gate structure for CMOS device
JP2009218265A (ja) 半導体装置および半導体装置の製造方法
JP5154222B2 (ja) 置換金属ゲート形成のための半導体構造の平坦化
KR102287552B1 (ko) 게이트 라스트 프로세스에서의 선택적 하이 k 형성
TWI518755B (zh) 積體電路結構及其製作方法
US7858484B2 (en) Semiconductor device and method for producing the same
JP2011044517A (ja) 半導体装置及びその製造方法
KR20080076832A (ko) 절연 게이트 전계 효과 트랜지스터의 제조 방법
JP4771024B2 (ja) 半導体装置の製造方法
US20170256459A1 (en) Method of fabricating semiconductor structure with self-aligned spacers
US11557594B2 (en) Method of manufacturing semiconductor device having buried word line
US20150255564A1 (en) Method for manufacturing a semiconductor device
JP5147588B2 (ja) 半導体装置
US7332391B2 (en) Method for forming storage node contacts in semiconductor device
US7306993B2 (en) Method for fabricating semiconductor device with recessed channel
JP5374947B2 (ja) 半導体装置およびその製造方法
CN114068709B (zh) 半导体器件及其形成方法
JP2005093816A (ja) 半導体装置の製造方法および半導体装置
KR20220103579A (ko) Finfet 디바이스 및 방법
JP2010034140A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20091009

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091106

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110209

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130618

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130812

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20131203