JP2009060137A - 半導体集積回路デバイス - Google Patents

半導体集積回路デバイス Download PDF

Info

Publication number
JP2009060137A
JP2009060137A JP2008290462A JP2008290462A JP2009060137A JP 2009060137 A JP2009060137 A JP 2009060137A JP 2008290462 A JP2008290462 A JP 2008290462A JP 2008290462 A JP2008290462 A JP 2008290462A JP 2009060137 A JP2009060137 A JP 2009060137A
Authority
JP
Japan
Prior art keywords
self
landing pad
aligned contact
polysilicon
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008290462A
Other languages
English (en)
Inventor
Choi Sunmuu
チョイ スンムー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JP2009060137A publication Critical patent/JP2009060137A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

【課題】通常のウィンドウ開口と自己整合接点ウィンドウ開口を同時に開ける方法を提供すること。
【解決手段】フィールド酸化物領域と、そこから離間した活性領域とを有するシリコン製基板と、フィールド酸化物領域と、活性領域にそれぞれ関連して、第1と第2の自己整合接点ウィンドウ開口内にそれぞれ形成された第1と第2の自己整合接点と、フィールド酸化物領域の上で、かつ前記第1自己整合接点ウィンドウ開口の下に形成されたダミーのポリシリコンランディングパッドと、ダミーのポリシリコンランディングパッドの上に形成された動作用ポリシリコンランディングパッドとを有する。
【選択図】 図5

Description

本発明は半導体デバイスに関し、特に、メモリデバイスと論理デバイスに広く用いられる集積回路の自己整合接点とパッド構造の製造方法に関する。
自己整合接点とゲート形成技術は、集積回路の製造に用いられ、例えばフラッシュメモリデバイスとして用いられるDRAMとSRAMで、通常用いられる。これらの半導体メモリデバイスは、ポリシリコン、あるいは他の材料のプラグを受け入れ、セルトランジスタのソース領域をセルキャパシタの蓄積電極に接続する様々な接点ウィンドウを有する。この接点ウィンドウはまた、セルトランジスタのドレイン領域をビットラインに接続している。またこの種の半導体デバイスは、フローティングゲート構造を有し、そこにデータがフローティングゲート上でチャージ(電荷)の形態で記憶される。
接点電極は、小さな寸法でなければならず、好ましくは半導体デバイスを形成するのに用いられる露光ツールの解像度の限界より小さいのが好ましい。従来の接点ウィンドウの製造技術は、自己整合接点とも称し、ワードラインとゲート構造の間にあるソース/ドレイン領域にウィンドウ開口を形成するのに用いられる。自己整合の接点開口は、ワードライン構造間に存在するスペースよりも幅が広い。そのためこの自己整合接点ウィンドウ開口は、ソース/ドレイン領域の幅全体のみならず、絶縁層でキャップされたポリサイド製のゲート構造、あるいは他のゲート構造の上部表面の一部の露出部分を含む。
さらにまた、様々な特徴サイズおよび最小スペース、あるいは設計の許容度が半導体デバイスの電気的完全性を維持するために、半導体デバイス間で維持されている。例えば、金属接点を半導体デバイスの拡散領域内に形成する際の不整合は、接点と周囲のデバイス、例えばポリシリコンゲートとの間にスペースが必要とされ別の問題を引き起こす。金属接点が、例えば金属接点とゲートとの間で整合しない場合に起こるこの種の問題を回避するために、ランディングパッドが金属接点とその下の拡散領域との間に形成される。このランディングパッドは、ドープしたポリシリコン層から形成され、そしてこのポリシリコン層の上に珪化物層が形成され、シート抵抗を許容レベルまで減らしている。ランディングパッドにより通常セルの大きさが減少し、より大きな不整合の問題を緩和(解決)している。
様々な半導体デバイスの例、および自己整合接点および/またはランディングパッドを具備したデバイスの製造方法が、米国特許第5166771号、第5828130号、第5866449号、第5895961号、第5907779号、第5923988号の特許に開示されている。
前述したように自己整合接点の製造プロセスは、多くのSRAMとDRAMの製造技術で通常用いられている。しかしこのプロセスは、別のマスクおよびエッチングプロセスが、論理プロセスと適合性を有するようにする必要がある。酸化物に対し窒化物のエッチング選択性が小さい第1回目のエッチングステップを実行し、スペーサが露出しなければならない場所に、第2回目のエッチングステップを実行する。かくして、ポリシリコンとシリコンの両方の上部に、接点ウィンドウ開口と、自己整合の接点ウィンドウ開口を同時に開けることは困難である。
本発明の目的は、例えばメモリデバイスのフローティングゲート製造プロセスにおいて、通常のウィンドウ開口と自己整合接点ウィンドウ開口を同時に開ける方法を提供することである。
本発明の方法によれば、1回のエッチングとレジストプロセスで済むように、実際のポリシリコン製のランニングパッドを隆起させるために、ポリシリコンプロセスにおいてフローティングゲートの形成プロセスの間、ダミーのランディングパッドを規定し、形成する。
本発明の半導体集積回路は、請求項1に記載した特徴を有する。すなわち、フィールド酸化物領域と、そこから離間した活性領域とを有するシリコン製基板と、フィールド酸化物領域と、活性領域にそれぞれ関連して、第1と第2の自己整合接点ウィンドウ開口内にそれぞれ形成された第1と第2の自己整合接点と、フィールド酸化物領域の上で、かつ前記第1自己整合接点ウィンドウ開口の下に形成されたダミーのポリシリコンランディングパッドと、ダミーのポリシリコンランディングパッドの上に形成された動作用ポリシリコンランディングパッドとを有することを特徴とする。
本発明の他の態様によれば、本発明は請求項2に記載した特徴を有する。すなわち、第2の自己整合接点ウィンドウ開口は、第1の自己整合接点エッチングステップの間に形成された第1上部部分と、第2自己整合接点エッチングステップの間に形成された第2下部部分とを有することを特徴とする。本発明は請求項3に記載した特徴を有する。すなわち、第1の自己整合接点ウィンドウ開口は、第1の自己整合接点エッチングステップの間に形成されることを特徴とする。本発明は請求項4に記載した特徴を有する。すなわち、自己整合接点エッチングステップの前に形成された窒化シリコン層をさらに有することを特徴とする。本発明は請求項5に記載した特徴を有する。すなわち、ダミーのポリシリコンランディングパッドは、フローティングゲート製造プロセスの間に形成されることを特徴とする。本発明は請求項6に記載した特徴を有する。すなわち、第2の自己整合接点ウィンドウ開口の下に配置された酸化物薄膜層をさらに有することを特徴とする。本発明は請求項7に記載した特徴を有する。すなわち、活性領域の部分の上に形成されたポリシリコンランディングパッドをさらに有することを特徴とする。本発明は請求項8に記載した特徴を有する。すなわち、活性領域の部分の上のポリシリコンランディングパッドの端部に形成された側壁スペーサをさらに有することを特徴とする。
本発明の方法によれば、本発明は請求項9に記載した特徴を有する。すなわち、ポリシリコンランディングパッドの端部に形成された側壁スペーサをさらに有することを特徴とする。
本発明は、フローティングゲートを製造する際に、実際のポリシリコンパッドを隆起させるために、実際のポリ−ランディングパッド用のダミーランディングパッドと、自己整合接点ウィンドウ開口を形成するのに用いられる、ポリシリコンプロセスを提供するために利点がある。かくして、実際のポリシリコンがダミーのポリシリコンパッドにより隆起した場合には、2つの接点ウィンドウ開口を同時に形成できる。かくして、自己整合接点ウィンドウ開口は、ポリ−ランディングパッドの上部内に形成され、自己整合接点用の第1エッチングステップの間に形成される。自己整合接点(self-aligned contat:SAC)製造は、多くのSRAMとDRAMの技術とともに通常用いられる。しかし自己整合接点プロセスは、論理プロセスと適合できる余分のマスクとエッチングプロセスを必要とする。本発明の利点は、自己整合接点をSRAMおよび/またはDRAMメモリブロックと、フラッシュFFLAと、類似のメモリブロック用のチップ内で、余分のマスクとエッチングプロセスを用いずに行うことができるという点である。
本発明によれば、ダミーポリ−ランディングパッド特徴がフローティングゲートのマスクに追加される。このダミーポリ−ランディングパッドの上に、自己整合接点ウィンドウ開口用の実際のポリ−ランディングパッドが被せられる。このダミーポリ−ランディングパッドは、実際のポリランディングパッドを隆起させるためのものであり、実際のランディングパッドがダミーランディングパッドにより隆起したあと、ウィンドウ開口がこの実際のランディングパッドの上部に規定され、一方自己整合接点ウィンドウ開口が酸化物製の薄膜層の上部に形成される。窒化シリコン層が当業者に公知の方法で形成される。本発明の一態様においては、このダミーランディングパッドは、ダミーがフローティングゲートであるように絶縁された領域にフローティングゲート製造プロセスの時に形成される。
図1は、従来の自己整合接点の形成段階の素子の断面図を示し、集積回路のMOSFETを形成するプロセスを用いて、ドープトシリコン製基板26の上にP型とN型のウェル20とフィールド酸化物領域22、ゲート酸化物層24を形成する。ゲート酸化物層24の厚さは様々であるが、通常50Åであり、他の厚さも選択できる。
ポリシリコン層を最初に低圧CVD(low pressure chemical vapor deposition:LPCVD)により形成され、N型不純物のような不純物が拡散ドープされて、所望のシート抵抗を達成する。このポリシリコン層30a、ポリシリコン層30bは、完成した半導体デバイスのゲートとして後で機能する。通常第1レベルの相互接続構造(図示せず)が、メモリアレイの周辺に配置され、そしてこれはポリシリコンのこの層から形成される。その後酸化物層32a、酸化物層32bを、ポリシリコン層上に成長し、その後、窒化シリコン層34a、窒化シリコン層34bをLPCVDにより酸化物層32a、酸化物層32bの上に形成する。
その後ポリシリコン層30a、ポリシリコン層30bを公知のフォトマスキングとサンドイッチエッチング技術を用いて形成される。これらの技術は、窒化シリコン層と酸化シリコン層のプラズマエッチングと、ポリシリコン層のプラズマエッチングをするステップを含み、これらの層によりカバーされたポリシリコンゲートを形成する。
イオン注入によりN型とP型の薄くドープしたドレイン/ソース36を形成する。このドレイン/ソース36はウェルの導電型、あるいはウェルが存在しない場合には基板の導電型とは反対の導電型を有する。TEOSを用いて酸化シリコン層のCVDの後、反応性イオンエッチングを行って、二酸化シリコン製のゲート側壁スペーサ38を形成する。酸化物層を熱的に成長させて、ソース領域とドレイン領域をキャップして、スペーサをより酸化物にするよう高密度化する。N型とP型のドレイン/ソース領域40が、公知のマスクイオン注入により形成され、ドーパントノードはこれらの領域のcm3あたりのドーパント元素の量である。窒化シリコン層44をCVD等により堆積する。
当業者に公知の後続の処理ステップの間、ゲート接点領域が形成され、第1レジスト層21と第2レジスト層22が当業者の公知の技術により形成される。接点ウィンドウ開口が酸化物層と窒化物層により形成され、電気的接触がそれぞれのポリシリコン層30a、ポリシリコン層30bに対し行われる。ポリシリコン製の絶縁マスクを用いて、ポリシリコン層30bに接触するようにウィンドウ開口を形成することによりゲートを露出させる。この露出したポリシリコン層30bは、ゲート以上の接点サイズを有し、かくして整合の許容度が緩やかとなる。マスクの整合が悪い場合でさえも、ゲートを露出するように酸化物層と窒化シリコン層をエッチングする間、ソース領域とドレイン領域の露出を第1レジスト層21が阻止する。かくしてゲートへの電気的接触が、ソースまたはドレインとゲートとの間の短絡を引き起こさずに、ゲート下のトランジスタの活性チャネル領域の上で形成される。
プラズマエッチングによりレジスト層内に形成されたウィンドウ開口により露出した領域内で、酸化物が除去される場所のゲート接点を露出するために、複数回のエッチングステップが行われる。第1と第2のフォトレジスト層を除去した後、標準のウェットリン酸エッチングが行われる。厚い酸化物層を除去すると、ゲートの側上の酸化物のステップの高さが減少して、ポリシリコン製のゲート接点(図示せず)と、その上のポリシリコン層30bが形成される。ソース領域とドレイン領域への接点が、埋め込まれた接点マスクを用いて形成され、そで、窒化シリコン層がゲートをカバーする酸化物の一部を除去した後、その場所でゲートを保護する。ポリシリコン層30aに接触するスペーサ酸化物と、保護用の窒化シリコン層により、ソースとドレインの接触接点用金属がその後堆積され、ゲート内のソースとドレインとの間の短絡を引き起こすことなくゲートを覆う。
ソースとドレインとポリシリコンゲート接点と相互接続構造により露出したシリコンは、スパッタチタン層を堆積し、その後急速熱アニールを用いることにより珪化物化され、これがその下のソースとドレインとゲート領域と相互接続構造を、後続の処理ステップの間保護する。スパッタチタン層と、酸化物製の厚膜層と、ポリシリコン層を含む他の層を追加することができる。図1とそれに関連した記載は、自己整合接点ウィンドウの半導体製造プロセスの一例であるが、本発明が改良しようとする自己整合接点を形成する従来技術を記載するものである。
半導体デバイスの電気的完全性を維持するために、半導体デバイス間である特徴サイズと最小のスペース、あるいは設計許容値を維持しなければならない。様々な拡散領域への金属接点を形成する際の不整合が、ゲート領域に接触する金属での不整合、あるいは他のスペースの問題が発生したときに、問題を生成することがある。かくして、ランディングパッドは金属製接点とその下の拡散領域との間に形成される。このランディングパッドは通常、ドープしたポリシリコン層から形成され、このドープしたポリシリコン層の上に珪化物層が形成されて、シート抵抗を許容レベルまで低下させる。このランディングパッドにより、セルが小型化され、不整合の問題を緩和できる。通常ランディングパッドは、ランディングパッドへの接点開口をエッチングする際には良好なエッチストップである。
さらにまた、自己整合接点を用いることにより、半導体チップの小型化および高性能化に寄与でき、さらにまた光リソグラフとドライエッチングのような半導体製造技術に対する前進がはかられる。自己整合接点の技術思想は、ワードラインとゲート構造との間のソース/ドレイン領域への開口を用いる。自己整合接点開口はワードライン構造間のスペースよりも幅が広くなる。自己整合接点開口内に露出したソース/ドレイン領域への金属構造は、ポリシリコン、または金属の珪化物−ポリシリコン(ポリサイド)層を用いて形成される。
図3A、Bは、図2に示したフローティングゲートトランジスタ64を用いたNANDメモリ60(図3A)と、NORセル62のフラッシュメモリセルを示す。フローティングゲートトランジスタ64は、フローティングゲート66上のチャージ(電荷)の形態でデータを記憶し、プログラミングの容易さおよび長期にわたる電荷の保持のために二進情報記憶に有効である。フローティングゲートは通常、SiO2製の絶縁層により包囲される。多くの二進のアプリケーションにおいては情報の蓄積は、フローティングゲート66上に大量の電荷を保持すること、あるいはフローティングゲート66から電荷を除去することにより達成される。図2に示すように、ゼロ値用のフローティングゲート66は、N+ソース68からフローティングゲート66への電子の位相を示し、1の値に対しては電子の位相は、フローティングゲート66からN+ソース68に行われる。フローティングゲートトランジスタ64はそれぞれ、N+ドレイン70と、この実施例においてはP型基板72と制御ゲート74を有する。
図3Aにおいては、NANDメモリ60は、より高密度にするためにセルを直列に接続し、一方NORセル62は、より高速なアクセスのためにセルを並列に接続している。図3Bは、制御ゲートとフローティングゲートのワードライン76とドレインとソースとビットライン78を示す。
図4と図4Aは、自己整合接点ウィンドウ開口を用いた従来の構造を示し、シリコン製基板90は、ソース領域とドレイン領域(図示せず)を含む、フィールド酸化物領域91aとそれから離間した活性領域91bと、フィールド酸化物領域91aの上に形成されたポリシリコン製ランディングパッド92を有する。同図には通常のウィンドウ開口100が示され、接点100aを有する。自己整合接点ウィンドウ開口102が図4Bの酸化物薄膜層103の上に形成され、102aを含む。通常のウィンドウ開口100、自己整合接点ウィンドウ開口102は、当業者の公知の方法により形成されたプラグを含む。通常のウィンドウ開口100、自己整合接点ウィンドウ開口102は、酸化物製の側壁スペーサ105を有する。ハードマスク酸化物105aと窒化シリコン層105bが形成されている。窒化シリコン層105b、あるいはそれに類似して形成された層は、本発明にとって必須のものであり、ライナーを形成する。
自己整合接点ウィンドウ開口101は、第1の自己整合接点エッチングステップにより形成された第1上部部分110を有する。第2下部部分112は、第2回目の自己整合接点エッチングステップにより形成され、図に示した構造体を形成する。ポリシリコン製ランディングパッド構造114は、活性領域91bの上に形成される。図4Bは、ポリシリコン製ランディングパッド92と自己整合接点ウィンドウ開口102と通常のウィンドウ開口100の平面図である。
図5A、Bは、本発明の利点を示す図で、同図においてダミーランディングパッド130がフローティングゲート製造プロセスの間に形成される。その結果、通常のウィンドウ開口100は、第1自己整合接点131aを具備した第1自己整合接点ウィンドウ開口131として形成される。実際のポリシリコン製ランディングパッド132が、この第1の自己整合接点ウィンドウ開口に形成され、図4Aに示す自己整合接点ウィンドウ開口に対応する第2の自己整合接点ウィンドウ140により持ち上げられる。図4A、Bに示した構造体においては、自己整合接点エッチングプロセスは、2回のエッチングステップで実行され、第1回のステップは、酸化物に対し窒化物のエッチング選択性が少なく、単に誘電体を除去するものである。第2回のエッチングステップは、窒化シリコン層105bに対し酸化物とシリコンの優れたエッチング選択性を示さない。その理由はスペーサを保護する必要があるからである。かくして図4A、Bの従来技術によれば、ポリシリコンの上部とシリコンの上部に通常のウィンドウ開口を形成すること、および同時に自己整合接点ウィンドウ開口を形成することは不可能である。
しかし、第1自己整合接点ウィンドウ開口131、第2の自己整合接点ウィンドウ140の両方が、本発明によれば、実際のポリ−ランディングパッドがダミーランディングパッド130により持ち上げられたときには同時に形成され、かくしてポリシリコン製のランディングパッドの上部の通常ウィンドウが、第1回の自己整合接点エッチングステップにより形成される。第2の自己整合接点ウィンドウ140は、第1回のエッチングステップの間に形成された上部部分142と第2の下部部分144を有する。
図6に本発明の半導体集積回路デバイスを製造する基本的なステップを示すフローチャートが示されている。ブロック200に示すように、ダミーのポリシリコンランディングパッドがまず形成される。その後、ポリゲート積層体、例えばゲート酸化物とポリシリコンとハードマスク酸化物が堆積される(202)。その後ポリゲートが、例えばゲートリソグラフ技術と、無線周波数プラズマエッチングにより形成される(204)。スペーサ用の酸化物がTEOSフィルムにより堆積される(206)。スペーサが無線周波数プラズマエッチングにより形成される(208)。窒化シリコンが、低圧CVD、高密度プラズマ、あるいはPECVDで堆積される(210)。誘電体1が高密度プラズマ、PSG酸化物、またはBPSGにより堆積される(212)。最後に、第1のウィンドウ自己整合接点エッチングが行われる(214)。
この自己整合接点ウィンドウエッチングは3つのステップから成り立っている。第1のエッチングステップは、窒化物に対し酸化物のエッチング選択性の少ないものであるが、第2のエッチングステップは、窒化物に対する酸化物のエッチング選択性が大きいものである。その理由は、このエッチングは、シリコン窒化物フィルム上で停止するからである。次にガスの組成をシリコン窒化物を除去するよう変更する。このエッチングは、シリコン酸化物に対するシリコン窒化物のエッチング選択性の大きいものである。上記の説明は、注入は自己整合接点プロセスとは無関係であるために、トランジスタの形成に必要とされる注入プロセスについては含んでいない。
メモリデバイスの自己整合接点を形成する従来のステップによる素子の断面図。 フローティングゲートトランジスタデバイスの断面図。 A:NANDフラッシュメモリ回路の基本的素子の配線図。B:NORフラッシュメモリ回路の基本的素子の配線図。 A:従来の自己整合接点構造の断面図。B:従来の自己整合接点構造の平面図。 A:フローティングゲート製造プロセス中にダミーランディングパッドが形成される、本発明の自己整合接点構造の断面図。B:フローティングゲート製造プロセス中にダミーランディングパッドが形成される、本発明の自己整合接点構造の平面図。 本発明の方法を表すフローチャート図。
符号の説明
20 ウェル
21 第1レジスト層
22 フィールド酸化物領域
22 第2レジスト層
24 ゲート酸化物層
26 ドープトシリコン製基板
30 ポリシリコン層
32 酸化物層
34 窒化シリコン層
36 ドレイン/ソース
38 ゲート側壁スペーサ
40 ドレイン/ソース領域
44 窒化シリコン層
60 NANDメモリ
62 NORセル
64 フローティングゲートトランジスタ
66 フローティングゲート
68 N+ソース
70 N+ドレイン
72 P型基板
74 制御ゲート
76 ワードライン
78 ビットライン
90 シリコン製基板
91a フィールド酸化物領域
91b 活性領域
92 ポリシリコン製ランディングパッド
100 通常のウィンドウ開口
100a 接点
101 自己整合接点ウィンドウ開口
102 自己整合接点ウィンドウ開口
103 酸化物薄膜層
105 側壁スペーサ
105a ハードマスク酸化物
105b 窒化シリコン層
110 第1上部部分
112 第2下部部分
114 ポリシリコン製ランディングパッド構造
130 ダミーランディングパッド
131 第1自己整合接点ウィンドウ開口
131a 自己整合接点
132 実際のポリシリコン製ランディングパッド
140 第2の自己整合接点ウィンドウ
142 上部部分
144 下部部分
200 ダミーのポリシリコン製ランディングパッドを形成する
202 ポリシリコン製ゲート積層構造を形成する
204 ポリシリコン製のゲートを形成する
206 スペーサ用酸化物を堆積する
208 スペーサを形成する
210 窒化シリコン層を堆積する
212 誘電体層1を堆積する
214 第1ウィンドウ自己整合接点エッチングを実行する

Claims (5)

  1. フィールド酸化物領域と、そこから離間した活性領域とを有するシリコン製基板と、
    前記フィールド酸化物領域と活性領域にそれぞれ関連して、第1と第2の自己整合接点ウィンドウ開口内にそれぞれ形成された第1と第2の自己整合接点と、
    前記フィールド酸化物領域の上で、かつ前記第1自己整合接点ウィンドウ開口の下方に形成されたダミーのポリシリコンランディングパッドと、
    前記ダミーのポリシリコンランディングパッドの上方に形成された動作用ポリシリコンランディングパッドと、
    を有することを特徴とする半導体集積回路デバイス。
  2. 前記第2の自己整合接点ウィンドウ開口の下方に配置された酸化物薄膜層
    をさらに有することを特徴とする請求項1記載のデバイス。
  3. 前記活性領域の部分の上に形成されたポリシリコンランディングパッド
    をさらに有することを特徴とする請求項1記載のデバイス。
  4. 前記活性領域の部分の上のポリシリコンランディングパッドの端部に形成された側壁スペーサ
    をさらに有することを特徴とする請求項1記載のデバイス。
  5. 前記動作用ポリシリコンランディングパッドの端部に形成された側壁スペーサ
    をさらに有することを特徴とする請求項1記載のデバイス。
JP2008290462A 1999-11-30 2008-11-13 半導体集積回路デバイス Pending JP2009060137A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/451,054 US6483144B2 (en) 1999-11-30 1999-11-30 Semiconductor device having self-aligned contact and landing pad structure and method of forming same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000362265A Division JP4820978B2 (ja) 1999-11-30 2000-11-29 半導体集積回路デバイスの製造方法

Publications (1)

Publication Number Publication Date
JP2009060137A true JP2009060137A (ja) 2009-03-19

Family

ID=23790627

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000362265A Expired - Fee Related JP4820978B2 (ja) 1999-11-30 2000-11-29 半導体集積回路デバイスの製造方法
JP2008290462A Pending JP2009060137A (ja) 1999-11-30 2008-11-13 半導体集積回路デバイス

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000362265A Expired - Fee Related JP4820978B2 (ja) 1999-11-30 2000-11-29 半導体集積回路デバイスの製造方法

Country Status (5)

Country Link
US (1) US6483144B2 (ja)
JP (2) JP4820978B2 (ja)
KR (1) KR100704132B1 (ja)
GB (1) GB2362756B (ja)
TW (1) TW471138B (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100380348B1 (ko) * 2001-01-11 2003-04-11 삼성전자주식회사 자기 정렬 콘택의 게이트 스페이서를 형성하는 방법
KR20220003870A (ko) 2020-07-02 2022-01-11 삼성전자주식회사 반도체 메모리 장치 및 그 제조 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62122238A (ja) * 1985-11-22 1987-06-03 Hitachi Ltd 半導体装置
JPH05218211A (ja) * 1991-12-13 1993-08-27 Nec Corp セルフアライン・コンタクト孔の形成方法
JPH06224196A (ja) * 1993-01-28 1994-08-12 Hitachi Ltd 半導体集積回路装置
JPH098008A (ja) * 1995-06-16 1997-01-10 Sony Corp 配線形成方法及び配線構造
JPH09199589A (ja) * 1996-01-18 1997-07-31 Sony Corp 配線形成方法
JPH1041482A (ja) * 1996-07-18 1998-02-13 Fujitsu Ltd 半導体装置およびその製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2021861B (en) * 1978-05-26 1982-09-29 Rockwell International Corp Field effect transistors
US4686000A (en) * 1985-04-02 1987-08-11 Heath Barbara A Self-aligned contact process
US5036378A (en) 1989-11-01 1991-07-30 At&T Bell Laboratories Memory device
US5166771A (en) 1990-01-12 1992-11-24 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
JPH03283570A (ja) * 1990-03-30 1991-12-13 Fujitsu Ltd 半導体装置及びその製造方法
JPH04218918A (ja) * 1990-04-27 1992-08-10 Fujitsu Ltd 半導体装置及びその製造方法
US5087584A (en) * 1990-04-30 1992-02-11 Intel Corporation Process for fabricating a contactless floating gate memory array utilizing wordline trench vias
KR930011462B1 (ko) * 1990-11-23 1993-12-08 현대전자산업 주식회사 다층배선의 단차를 완화시키는 방법
US5298792A (en) * 1992-02-03 1994-03-29 Micron Technology, Inc. Integrated circuit device with bi-level contact landing pads
JP2748070B2 (ja) * 1992-05-20 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
JPH0774326A (ja) * 1993-09-01 1995-03-17 Seiko Epson Corp 半導体装置及びその製造方法
US5945738A (en) 1994-05-31 1999-08-31 Stmicroelectronics, Inc. Dual landing pad structure in an integrated circuit
SG71683A1 (en) * 1995-09-15 2000-04-18 Chartered Semiconductor Mfg Three-dimensional polysilicon capacitor for high density integrated circuit applications
WO1997014185A1 (en) 1995-10-11 1997-04-17 Paradigm Technology, Inc. Semiconductor device with a planarized interconnect with poly-plug and self-aligned contacts
US5719071A (en) 1995-12-22 1998-02-17 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad sturcture in an integrated circuit
JP3665426B2 (ja) * 1996-07-17 2005-06-29 東芝マイクロエレクトロニクス株式会社 半導体装置の製造方法
US5907779A (en) 1996-10-15 1999-05-25 Samsung Electronics Co., Ltd. Selective landing pad fabricating methods for integrated circuits
US5760458A (en) * 1996-10-22 1998-06-02 Foveonics, Inc. Bipolar-based active pixel sensor cell with poly contact and increased capacitive coupling to the base region
JP2923912B2 (ja) * 1996-12-25 1999-07-26 日本電気株式会社 半導体装置
JP3600393B2 (ja) * 1997-02-10 2004-12-15 株式会社東芝 半導体装置及びその製造方法
US5780339A (en) 1997-05-02 1998-07-14 Vanguard International Semiconductor Corporation Method for fabricating a semiconductor memory cell in a DRAM
US5731236A (en) * 1997-05-05 1998-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Process to integrate a self-aligned contact structure, with a capacitor structure
US6004829A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company Method of increasing end point detection capability of reactive ion etching by adding pad area
US5866449A (en) 1997-10-27 1999-02-02 Taiwan Semiconductor Manufacturing Company Ltd. Method of making polysilicon-via structure for four transistor, triple polysilicon layer SRAM cell including two polysilicon layer load resistor
JP3912458B2 (ja) * 1997-12-05 2007-05-09 セイコーエプソン株式会社 不揮発性半導体記憶装置及びその製造方法
JP3349937B2 (ja) * 1997-12-22 2002-11-25 沖電気工業株式会社 半導体装置の製造方法
US5923988A (en) 1998-05-15 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Two step thermal treatment procedure applied to polycide structures deposited using dichlorosilane as a reactant
KR100275735B1 (ko) * 1998-07-11 2000-12-15 윤종용 노아형 플래쉬 메모리장치의 제조방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62122238A (ja) * 1985-11-22 1987-06-03 Hitachi Ltd 半導体装置
JPH05218211A (ja) * 1991-12-13 1993-08-27 Nec Corp セルフアライン・コンタクト孔の形成方法
JPH06224196A (ja) * 1993-01-28 1994-08-12 Hitachi Ltd 半導体集積回路装置
JPH098008A (ja) * 1995-06-16 1997-01-10 Sony Corp 配線形成方法及び配線構造
JPH09199589A (ja) * 1996-01-18 1997-07-31 Sony Corp 配線形成方法
JPH1041482A (ja) * 1996-07-18 1998-02-13 Fujitsu Ltd 半導体装置およびその製造方法

Also Published As

Publication number Publication date
GB0028276D0 (en) 2001-01-03
KR100704132B1 (ko) 2007-04-09
TW471138B (en) 2002-01-01
JP2001189392A (ja) 2001-07-10
KR20010052043A (ko) 2001-06-25
JP4820978B2 (ja) 2011-11-24
US20020000601A1 (en) 2002-01-03
GB2362756A (en) 2001-11-28
GB2362756B (en) 2002-06-05
US6483144B2 (en) 2002-11-19

Similar Documents

Publication Publication Date Title
US5792687A (en) Method for fabricating high density integrated circuits using oxide and polysilicon spacers
US6815752B2 (en) Semiconductor memory device for increasing access speed thereof
JPH05218334A (ja) タングステンコンタクトコア・スタックキャパシタおよびその成形方法
JPH05251659A (ja) タングステン記憶ノードキャパシタ、エッチドTiN記憶ノードキャパシタプレートおよびこれらの成形方法
JP2002184958A (ja) 半導体装置およびその製造方法
US6069037A (en) Method of manufacturing embedded DRAM
US6072241A (en) Semiconductor device with self-aligned contact and its manufacture
US20060128157A1 (en) Semiconductor structure with partially etched gate and method of fabricating the same
US20050105332A1 (en) Memory device and fabrication method thereof
JPS63281457A (ja) 半導体メモリ
CN113629009B (zh) 半导体硅化钴膜层的制造方法、半导体器件及存储器
US6146937A (en) Method of forming a DRAM device utilizing a sacrificial doped oxide layer
KR100404682B1 (ko) 플랫 셀 메모리 소자의 실리사이드막 제조방법
JP2009060137A (ja) 半導体集積回路デバイス
KR20010065285A (ko) 플래쉬 메모리 셀의 제조 방법
US20050255661A1 (en) Semiconductor device and manufacturing method therefor
JP2003078033A (ja) 半導体装置およびその製造方法
US20080197402A1 (en) Methods of Forming Nonvolatile Memory Devices and Memory Devices Formed Thereby
KR100560632B1 (ko) 금속 샐러사이드를 이용한 반도체 장치의 제조방법
KR100995329B1 (ko) 반도체 소자의 제조 방법
KR20030000652A (ko) 셀 형성 방법
KR100293715B1 (ko) 고집적 반도체 기억소자 제조방법
KR20010003287A (ko) 반도체장치 제조방법
JPH10256509A (ja) 半導体記憶装置及びその製造方法
KR20030050671A (ko) 반도체소자의 제조 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120213

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120511

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120516

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130305