JP2001189392A - 半導体集積回路デバイス - Google Patents

半導体集積回路デバイス

Info

Publication number
JP2001189392A
JP2001189392A JP2000362265A JP2000362265A JP2001189392A JP 2001189392 A JP2001189392 A JP 2001189392A JP 2000362265 A JP2000362265 A JP 2000362265A JP 2000362265 A JP2000362265 A JP 2000362265A JP 2001189392 A JP2001189392 A JP 2001189392A
Authority
JP
Japan
Prior art keywords
self
aligned contact
landing pad
window opening
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000362265A
Other languages
English (en)
Other versions
JP4820978B2 (ja
Inventor
Choi Sunmuu
チョイ スンムー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JP2001189392A publication Critical patent/JP2001189392A/ja
Application granted granted Critical
Publication of JP4820978B2 publication Critical patent/JP4820978B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Non-Volatile Memory (AREA)

Abstract

(57)【要約】 【課題】 通常のウィンドウ開口と自己整合接点ウィン
ドウ開口を同時に開ける方法を提供すること。 【解決手段】 フィールド酸化物領域と、そこから離間
した活性領域とを有するシリコン製基板と、フィールド
酸化物領域と、活性領域にそれぞれ関連して、第1と第
2の自己整合接点ウィンドウ開口内にそれぞれ形成され
た第1と第2の自己整合接点と、フィールド酸化物領域
の上で、かつ前記第1自己整合接点ウィンドウ開口の下
に形成されたダミーのポリシリコンランディングパッド
と、ダミーのポリシリコンランディングパッドの上に形
成された動作用ポリシリコンランディングパッドとを有
する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は半導体デバイスに関
し、特に、メモリデバイスと論理デバイスに広く用いら
れる集積回路の自己整合接点とパッド構造の製造方法に
関する。
【0002】
【従来の技術】自己整合接点とゲート形成技術は、集積
回路の製造に用いられ、例えばフラッシュメモリデバイ
スとして用いられるDRAMとSRAMで、通常用いら
れる。これらの半導体メモリデバイスは、ポリシリコ
ン、あるいは他の材料のプラグを受け入れ、セルトラン
ジスタのソース領域をセルキャパシタの蓄積電極に接続
する様々な接点ウィンドウを有する。この接点ウィンド
ウはまた、セルトランジスタのドレイン領域をビットラ
インに接続している。またこの種の半導体デバイスは、
フローティングゲート構造を有し、そこにデータがフロ
ーティングゲート上でチャージ(電荷)の形態で記憶さ
れる。
【0003】接点電極は、小さな寸法でなければなら
ず、好ましくは半導体デバイスを形成するのに用いられ
る露光ツールの解像度の限界より小さいのが好ましい。
従来の接点ウィンドウの製造技術は、自己整合接点とも
称し、ワードラインとゲート構造の間にあるソース/ド
レイン領域にウィンドウ開口を形成するのに用いられ
る。自己整合の接点開口は、ワードライン構造間に存在
するスペースよりも幅が広い。そのためこの自己整合接
点ウィンドウ開口は、ソース/ドレイン領域の幅全体の
みならず、絶縁層でキャップされたポリサイド製のゲー
ト構造、あるいは他のゲート構造の上部表面の一部の露
出部分を含む。
【0004】さらにまた、様々な特徴サイズおよび最小
スペース、あるいは設計の許容度が半導体デバイスの電
気的完全性を維持するために、半導体デバイス間で維持
されている。例えば、金属接点を半導体デバイスの拡散
領域内に形成する際の不整合は、接点と周囲のデバイ
ス、例えばポリシリコンゲートとの間にスペースが必要
とされ別の問題を引き起こす。金属接点が、例えば金属
接点とゲートとの間で整合しない場合に起こるこの種の
問題を回避するために、ランディングパッドが金属接点
とその下の拡散領域との間に形成される。このランディ
ングパッドは、ドープしたポリシリコン層から形成さ
れ、そしてこのポリシリコン層の上に珪化物層が形成さ
れ、シート抵抗を許容レベルまで減らしている。ランデ
ィングパッドにより通常セルの大きさが減少し、より大
きな不整合の問題を緩和(解決)している。
【0005】様々な半導体デバイスの例、および自己整
合接点および/またはランディングパッドを具備したデ
バイスの製造方法が、米国特許第5166771号、第
5828130号、第5866449号、第58959
61号、第5907779号、第5923988号の特
許に開示されている。
【0006】前述したように自己整合接点の製造プロセ
スは、多くのSRAMとDRAMの製造技術で通常用い
られている。しかしこのプロセスは、別のマスクおよび
エッチングプロセスが、論理プロセスと適合性を有する
ようにする必要がある。酸化物に対し窒化物のエッチン
グ選択性が小さい第1回目のエッチングステップを実行
し、スペーサが露出しなければならない場所に、第2回
目のエッチングステップを実行する。かくして、ポリシ
リコンとシリコンの両方の上部に、接点ウィンドウ開口
と、自己整合の接点ウィンドウ開口を同時に開けること
は困難である。
【0007】
【発明が解決しようとする課題】本発明の目的は、例え
ばメモリデバイスのフローティングゲート製造プロセス
において、通常のウィンドウ開口と自己整合接点ウィン
ドウ開口を同時に開ける方法を提供することである。
【0008】
【課題を解決するための手段】本発明の方法によれば、
1回のエッチングとレジストプロセスで済むように、実
際のポリシリコン製のランニングパッドを隆起させるた
めに、ポリシリコンプロセスにおいてフローティングゲ
ートの形成プロセスの間、ダミーのランディングパッド
を規定し、形成する。
【0009】本発明の半導体集積回路は、請求項1に記
載した特徴を有する。すなわち、フィールド酸化物領域
と、そこから離間した活性領域とを有するシリコン製基
板と、フィールド酸化物領域と、活性領域にそれぞれ関
連して、第1と第2の自己整合接点ウィンドウ開口内に
それぞれ形成された第1と第2の自己整合接点と、フィ
ールド酸化物領域の上で、かつ前記第1自己整合接点ウ
ィンドウ開口の下に形成されたダミーのポリシリコンラ
ンディングパッドと、ダミーのポリシリコンランディン
グパッドの上に形成された動作用ポリシリコンランディ
ングパッドとを有することを特徴とする。
【0010】本発明の他の態様によれば、本発明は請求
項2に記載した特徴を有する。すなわち、第2の自己整
合接点ウィンドウ開口は、第1の自己整合接点エッチン
グステップの間に形成された第1上部部分と、第2自己
整合接点エッチングステップの間に形成された第2下部
部分とを有することを特徴とする。本発明は請求項3に
記載した特徴を有する。すなわち、第1の自己整合接点
ウィンドウ開口は、第1の自己整合接点エッチングステ
ップの間に形成されることを特徴とする。本発明は請求
項4に記載した特徴を有する。すなわち、自己整合接点
エッチングステップの前に形成された窒化シリコン層を
さらに有することを特徴とする。本発明は請求項5に記
載した特徴を有する。すなわち、ダミーのポリシリコン
ランディングパッドは、フローティングゲート製造プロ
セスの間に形成されることを特徴とする。本発明は請求
項6に記載した特徴を有する。すなわち、第2の自己整
合接点ウィンドウ開口の下に配置された酸化物薄膜層を
さらに有することを特徴とする。本発明は請求項7に記
載した特徴を有する。すなわち、活性領域の部分の上に
形成されたポリシリコンランディングパッドをさらに有
することを特徴とする。本発明は請求項8に記載した特
徴を有する。すなわち、活性領域の部分の上のポリシリ
コンランディングパッドの端部に形成された側壁スペー
サをさらに有することを特徴とする。
【0011】本発明の方法によれば、本発明は請求項9
に記載した特徴を有する。すなわち、ポリシリコンラン
ディングパッドの端部に形成された側壁スペーサをさら
に有することを特徴とする。
【0012】
【発明の実施の形態】本発明は、フローティングゲート
を製造する際に、実際のポリシリコンパッドを隆起させ
るために、実際のポリ−ランディングパッド用のダミー
ランディングパッドと、自己整合接点ウィンドウ開口を
形成するのに用いられる、ポリシリコンプロセスを提供
するために利点がある。かくして、実際のポリシリコン
がダミーのポリシリコンパッドにより隆起した場合に
は、2つの接点ウィンドウ開口を同時に形成できる。か
くして、自己整合接点ウィンドウ開口は、ポリ−ランデ
ィングパッドの上部内に形成され、自己整合接点用の第
1エッチングステップの間に形成される。自己整合接点
(self-aligned contat:SAC)製造は、多くのSR
AMとDRAMの技術とともに通常用いられる。しかし
自己整合接点プロセスは、論理プロセスと適合できる余
分のマスクとエッチングプロセスを必要とする。本発明
の利点は、自己整合接点をSRAMおよび/またはDR
AMメモリブロックと、フラッシュFFLAと、類似の
メモリブロック用のチップ内で、余分のマスクとエッチ
ングプロセスを用いずに行うことができるという点であ
る。
【0013】本発明によれば、ダミーポリ−ランディン
グパッド特徴がフローティングゲートのマスクに追加さ
れる。このダミーポリ−ランディングパッドの上に、自
己整合接点ウィンドウ開口用の実際のポリ−ランディン
グパッドが被せられる。このダミーポリ−ランディング
パッドは、実際のポリランディングパッドを隆起させる
ためのものであり、実際のランディングパッドがダミー
ランディングパッドにより隆起したあと、ウィンドウ開
口がこの実際のランディングパッドの上部に規定され、
一方自己整合接点ウィンドウ開口が酸化物製の薄膜層の
上部に形成される。窒化シリコン層が当業者に公知の方
法で形成される。本発明の一態様においては、このダミ
ーランディングパッドは、ダミーがフローティングゲー
トであるように絶縁された領域にフローティングゲート
製造プロセスの時に形成される。
【0014】図1は、従来の自己整合接点の形成段階の
素子の断面図を示し、集積回路のMOSFETを形成す
るプロセスを用いて、ドープトシリコン製基板26の上
にP型とN型のウェル20とフィールド酸化物領域2
2、ゲート酸化物層24を形成する。ゲート酸化物層2
4の厚さは様々であるが、通常50Åであり、他の厚さ
も選択できる。
【0015】ポリシリコン層を最初に低圧CVD(low
pressure chemical vapor deposition:LPCVD)に
より形成され、N型不純物のような不純物が拡散ドープ
されて、所望のシート抵抗を達成する。このポリシリコ
ン層30a、ポリシリコン層30bは、完成した半導体
デバイスのゲートとして後で機能する。通常第1レベル
の相互接続構造(図示せず)が、メモリアレイの周辺に
配置され、そしてこれはポリシリコンのこの層から形成
される。その後酸化物層32a、酸化物層32bを、ポ
リシリコン層上に成長し、その後、窒化シリコン層34
a、窒化シリコン層34bをLPCVDにより酸化物層
32a、酸化物層32bの上に形成する。
【0016】その後ポリシリコン層30a、ポリシリコ
ン層30bを公知のフォトマスキングとサンドイッチエ
ッチング技術を用いて形成される。これらの技術は、窒
化シリコン層と酸化シリコン層のプラズマエッチング
と、ポリシリコン層のプラズマエッチングをするステッ
プを含み、これらの層によりカバーされたポリシリコン
ゲートを形成する。
【0017】イオン注入によりN型とP型の薄くドープ
したドレイン/ソース36を形成する。このドレイン/
ソース36はウェルの導電型、あるいはウェルが存在し
ない場合には基板の導電型とは反対の導電型を有する。
TEOSを用いて酸化シリコン層のCVDの後、反応性
イオンエッチングを行って、二酸化シリコン製のゲート
側壁スペーサ38を形成する。酸化物層を熱的に成長さ
せて、ソース領域とドレイン領域をキャップして、スペ
ーサをより酸化物にするよう高密度化する。N型とP型
のドレイン/ソース領域40が、公知のマスクイオン注
入により形成され、ドーパントノードはこれらの領域の
cm3あたりのドーパント元素の量である。窒化シリコ
ン層44をCVD等により堆積する。
【0018】当業者に公知の後続の処理ステップの間、
ゲート接点領域が形成され、第1レジスト層21と第2
レジスト層22が当業者の公知の技術により形成され
る。接点ウィンドウ開口が酸化物層と窒化物層により形
成され、電気的接触がそれぞれのポリシリコン層30
a、ポリシリコン層30bに対し行われる。ポリシリコ
ン製の絶縁マスクを用いて、ポリシリコン層30bに接
触するようにウィンドウ開口を形成することによりゲー
トを露出させる。この露出したポリシリコン層30b
は、ゲート以上の接点サイズを有し、かくして整合の許
容度が緩やかとなる。マスクの整合が悪い場合でさえ
も、ゲートを露出するように酸化物層と窒化シリコン層
をエッチングする間、ソース領域とドレイン領域の露出
を第1レジスト層21が阻止する。かくしてゲートへの
電気的接触が、ソースまたはドレインとゲートとの間の
短絡を引き起こさずに、ゲート下のトランジスタの活性
チャネル領域の上で形成される。
【0019】プラズマエッチングによりレジスト層内に
形成されたウィンドウ開口により露出した領域内で、酸
化物が除去される場所のゲート接点を露出するために、
複数回のエッチングステップが行われる。第1と第2の
フォトレジスト層を除去した後、標準のウェットリン酸
エッチングが行われる。厚い酸化物層を除去すると、ゲ
ートの側上の酸化物のステップの高さが減少して、ポリ
シリコン製のゲート接点(図示せず)と、その上のポリ
シリコン層30bが形成される。ソース領域とドレイン
領域への接点が、埋め込まれた接点マスクを用いて形成
され、そで、窒化シリコン層がゲートをカバーする酸化
物の一部を除去した後、その場所でゲートを保護する。
ポリシリコン層30aに接触するスペーサ酸化物と、保
護用の窒化シリコン層により、ソースとドレインの接触
接点用金属がその後堆積され、ゲート内のソースとドレ
インとの間の短絡を引き起こすことなくゲートを覆う。
【0020】ソースとドレインとポリシリコンゲート接
点と相互接続構造により露出したシリコンは、スパッタ
チタン層を堆積し、その後急速熱アニールを用いること
により珪化物化され、これがその下のソースとドレイン
とゲート領域と相互接続構造を、後続の処理ステップの
間保護する。スパッタチタン層と、酸化物製の厚膜層
と、ポリシリコン層を含む他の層を追加することができ
る。図1とそれに関連した記載は、自己整合接点ウィン
ドウの半導体製造プロセスの一例であるが、本発明が改
良しようとする自己整合接点を形成する従来技術を記載
するものである。
【0021】半導体デバイスの電気的完全性を維持する
ために、半導体デバイス間である特徴サイズと最小のス
ペース、あるいは設計許容値を維持しなければならな
い。様々な拡散領域への金属接点を形成する際の不整合
が、ゲート領域に接触する金属での不整合、あるいは他
のスペースの問題が発生したときに、問題を生成するこ
とがある。かくして、ランディングパッドは金属製接点
とその下の拡散領域との間に形成される。このランディ
ングパッドは通常、ドープしたポリシリコン層から形成
され、このドープしたポリシリコン層の上に珪化物層が
形成されて、シート抵抗を許容レベルまで低下させる。
このランディングパッドにより、セルが小型化され、不
整合の問題を緩和できる。通常ランディングパッドは、
ランディングパッドへの接点開口をエッチングする際に
は良好なエッチストップである。
【0022】さらにまた、自己整合接点を用いることに
より、半導体チップの小型化および高性能化に寄与で
き、さらにまた光リソグラフとドライエッチングのよう
な半導体製造技術に対する前進がはかられる。自己整合
接点の技術思想は、ワードラインとゲート構造との間の
ソース/ドレイン領域への開口を用いる。自己整合接点
開口はワードライン構造間のスペースよりも幅が広くな
る。自己整合接点開口内に露出したソース/ドレイン領
域への金属構造は、ポリシリコン、または金属の珪化物
−ポリシリコン(ポリサイド)層を用いて形成される。
【0023】図3A、Bは、図2に示したフローティン
グゲートトランジスタ64を用いたNANDメモリ60
(図3A)と、NORセル62のフラッシュメモリセル
を示す。フローティングゲートトランジスタ64は、フ
ローティングゲート66上のチャージ(電荷)の形態で
データを記憶し、プログラミングの容易さおよび長期に
わたる電荷の保持のために二進情報記憶に有効である。
フローティングゲートは通常、SiO2製の絶縁層によ
り包囲される。多くの二進のアプリケーションにおいて
は情報の蓄積は、フローティングゲート66上に大量の
電荷を保持すること、あるいはフローティングゲート6
6から電荷を除去することにより達成される。図2に示
すように、ゼロ値用のフローティングゲート66は、N
+ソース68からフローティングゲート66への電子の
位相を示し、1の値に対しては電子の位相は、フローテ
ィングゲート66からN+ソース68に行われる。フロ
ーティングゲートトランジスタ64はそれぞれ、N+ド
レイン70と、この実施例においてはP型基板72と制
御ゲート74を有する。
【0024】図3Aにおいては、NANDメモリ60
は、より高密度にするためにセルを直列に接続し、一方
NORセル62は、より高速なアクセスのためにセルを
並列に接続している。図3Bは、制御ゲートとフローテ
ィングゲートのワードライン76とドレインとソースと
ビットライン78を示す。
【0025】図4と図4Aは、自己整合接点ウィンドウ
開口を用いた従来の構造を示し、シリコン製基板90
は、ソース領域とドレイン領域(図示せず)を含む、フ
ィールド酸化物領域91aとそれから離間した活性領域
91bと、フィールド酸化物領域91aの上に形成され
たポリシリコン製ランディングパッド92を有する。同
図には通常のウィンドウ開口100が示され、接点10
0aを有する。自己整合接点ウィンドウ開口102が図
4Bの酸化物薄膜層103の上に形成され、102aを
含む。通常のウィンドウ開口100、自己整合接点ウィ
ンドウ開口102は、当業者の公知の方法により形成さ
れたプラグを含む。通常のウィンドウ開口100、自己
整合接点ウィンドウ開口102は、酸化物製の側壁スペ
ーサ105を有する。ハードマスク酸化物105aと窒
化シリコン層105bが形成されている。窒化シリコン
層105b、あるいはそれに類似して形成された層は、
本発明にとって必須のものであり、ライナーを形成す
る。
【0026】自己整合接点ウィンドウ開口101は、第
1の自己整合接点エッチングステップにより形成された
第1上部部分110を有する。第2下部部分112は、
第2回目の自己整合接点エッチングステップにより形成
され、図に示した構造体を形成する。ポリシリコン製ラ
ンディングパッド構造114は、活性領域91bの上に
形成される。図4Bは、ポリシリコン製ランディングパ
ッド92と自己整合接点ウィンドウ開口102と通常の
ウィンドウ開口100の平面図である。
【0027】図5A、Bは、本発明の利点を示す図で、
同図においてダミーランディングパッド130がフロー
ティングゲート製造プロセスの間に形成される。その結
果、通常のウィンドウ開口100は、第1自己整合接点
131aを具備した第1自己整合接点ウィンドウ開口1
31として形成される。実際のポリシリコン製ランディ
ングパッド132が、この第1の自己整合接点ウィンド
ウ開口に形成され、図4Aに示す自己整合接点ウィンド
ウ開口に対応する第2の自己整合接点ウィンドウ140
により持ち上げられる。図4A、Bに示した構造体にお
いては、自己整合接点エッチングプロセスは、2回のエ
ッチングステップで実行され、第1回のステップは、酸
化物に対し窒化物のエッチング選択性が少なく、単に誘
電体を除去するものである。第2回のエッチングステッ
プは、窒化シリコン層105bに対し酸化物とシリコン
の優れたエッチング選択性を示さない。その理由はスペ
ーサを保護する必要があるからである。かくして図4
A、Bの従来技術によれば、ポリシリコンの上部とシリ
コンの上部に通常のウィンドウ開口を形成すること、お
よび同時に自己整合接点ウィンドウ開口を形成すること
は不可能である。
【0028】しかし、第1自己整合接点ウィンドウ開口
131、第2の自己整合接点ウィンドウ140の両方
が、本発明によれば、実際のポリ−ランディングパッド
がダミーランディングパッド130により持ち上げられ
たときには同時に形成され、かくしてポリシリコン製の
ランディングパッドの上部の通常ウィンドウが、第1回
の自己整合接点エッチングステップにより形成される。
第2の自己整合接点ウィンドウ140は、第1回のエッ
チングステップの間に形成された上部部分142と第2
の下部部分144を有する。
【0029】図6に本発明の半導体集積回路デバイスを
製造する基本的なステップを示すフローチャートが示さ
れている。ブロック200に示すように、ダミーのポリ
シリコンランディングパッドがまず形成される。その
後、ポリゲート積層体、例えばゲート酸化物とポリシリ
コンとハードマスク酸化物が堆積される(202)。そ
の後ポリゲートが、例えばゲートリソグラフ技術と、無
線周波数プラズマエッチングにより形成される(20
4)。スペーサ用の酸化物がTEOSフィルムにより堆
積される(206)。スペーサが無線周波数プラズマエ
ッチングにより形成される(208)。窒化シリコン
が、低圧CVD、高密度プラズマ、あるいはPECVD
で堆積される(210)。誘電体1が高密度プラズマ、
PSG酸化物、またはBPSGにより堆積される(21
2)。最後に、第1のウィンドウ自己整合接点エッチン
グが行われる(214)。
【0030】この自己整合接点ウィンドウエッチングは
3つのステップから成り立っている。第1のエッチング
ステップは、窒化物に対し酸化物のエッチング選択性の
少ないものであるが、第2のエッチングステップは、窒
化物に対する酸化物のエッチング選択性が大きいもので
ある。その理由は、このエッチングは、シリコン窒化物
フィルム上で停止するからである。次にガスの組成をシ
リコン窒化物を除去するよう変更する。このエッチング
は、シリコン酸化物に対するシリコン窒化物のエッチン
グ選択性の大きいものである。上記の説明は、注入は自
己整合接点プロセスとは無関係であるために、トランジ
スタの形成に必要とされる注入プロセスについては含ん
でいない。
【図面の簡単な説明】
【図1】メモリデバイスの自己整合接点を形成する従来
のステップによる素子の断面図。
【図2】フローティングゲートトランジスタデバイスの
断面図。
【図3】A:NANDフラッシュメモリ回路の基本的素
子の配線図。 B:NORフラッシュメモリ回路の基本的素子の配線
図。
【図4】A:従来の自己整合接点構造の断面図。 B:従来の自己整合接点構造の平面図。
【図5】A:フローティングゲート製造プロセス中にダ
ミーランディングパッドが形成される、本発明の自己整
合接点構造の断面図。 B:フローティングゲート製造プロセス中にダミーラン
ディングパッドが形成される、本発明の自己整合接点構
造の平面図。
【図6】本発明の方法を表すフローチャート図。
【符号の説明】
20 ウェル 21 第1レジスト層 22 フィールド酸化物領域 22 第2レジスト層 24 ゲート酸化物層 26 ドープトシリコン製基板 30 ポリシリコン層 32 酸化物層 34 窒化シリコン層 36 ドレイン/ソース 38 ゲート側壁スペーサ 40 ドレイン/ソース領域 44 窒化シリコン層 60 NANDメモリ 62 NORセル 64 フローティングゲートトランジスタ 66 フローティングゲート 68 N+ソース 70 N+ドレイン 72 P型基板 74 制御ゲート 76 ワードライン 78 ビットライン 90 シリコン製基板 91a フィールド酸化物領域 91b 活性領域 92 ポリシリコン製ランディングパッド 100 通常のウィンドウ開口 100a 接点 101 自己整合接点ウィンドウ開口 102 自己整合接点ウィンドウ開口 103 酸化物薄膜層 105 側壁スペーサ 105a ハードマスク酸化物 105b 窒化シリコン層 110 第1上部部分 112 第2下部部分 114 ポリシリコン製ランディングパッド構造 130 ダミーランディングパッド 131 第1自己整合接点ウィンドウ開口 131a 自己整合接点 132 実際のポリシリコン製ランディングパッド 140 第2の自己整合接点ウィンドウ 142 上部部分 144 下部部分 200 ダミーのポリシリコン製ランディングパッドを
形成する 202 ポリシリコン製ゲート積層構造を形成する 204 ポリシリコン製のゲートを形成する 206 スペーサ用酸化物を堆積する 208 スペーサを形成する 210 窒化シリコン層を堆積する 212 誘電体層1を堆積する 214 第1ウィンドウ自己整合接点エッチングを実行
する
───────────────────────────────────────────────────── フロントページの続き (71)出願人 596077259 600 Mountain Avenue, Murray Hill, New Je rsey 07974−0636U.S.A. (72)発明者 スンムー チョイ アメリカ合衆国、32835 フロリダ、オー ランド、ギルズ プレイス ストリート 7927

Claims (18)

    【特許請求の範囲】
  1. 【請求項1】 フィールド酸化物領域と、そこから離間
    した活性領域とを有するシリコン製基板と、 前記フィールド酸化物領域と活性領域にそれぞれ関連し
    て、第1と第2の自己整合接点ウィンドウ開口内にそれ
    ぞれ形成された第1と第2の自己整合接点と、 前記フィールド酸化物領域の上で、かつ前記第1自己整
    合接点ウィンドウ開口の下に形成されたダミーのポリシ
    リコンランディングパッドと、 前記ダミーのポリシリコンランディングパッドの上に形
    成された動作用ポリシリコンランディングパッドと、を
    有することを特徴とする半導体集積回路デバイス。
  2. 【請求項2】 前記第2の自己整合接点ウィンドウ開口
    は、 第1の自己整合接点エッチングステップの間に形成され
    た第1上部部分と、 第2自己整合接点エッチングステップの間に形成された
    第2下部部分とを有することを特徴とする請求項1記載
    のデバイス。
  3. 【請求項3】 前記第1の自己整合接点ウィンドウ開口
    は、第1の自己整合接点エッチングステップの間に形成
    されることを特徴とする請求項2記載のデバイス。
  4. 【請求項4】 自己整合接点エッチングステップの前に
    形成された窒化シリコン層をさらに有することを特徴と
    する請求項3記載のデバイス。
  5. 【請求項5】 前記ダミーのポリシリコンランディング
    パッドは、フローティングゲート製造プロセスの間に形
    成されることを特徴とする請求項1記載のデバイス。
  6. 【請求項6】 前記第2の自己整合接点ウィンドウ開口
    の下に配置された酸化物薄膜層をさらに有することを特
    徴とする請求項1記載のデバイス。
  7. 【請求項7】 活性領域の部分の上に形成されたポリシ
    リコンランディングパッドをさらに有することを特徴と
    する請求項1記載のデバイス。
  8. 【請求項8】 前記活性領域の部分の上のポリシリコン
    ランディングパッドの端部に形成された側壁スペーサを
    さらに有することを特徴とする請求項1記載のデバイ
    ス。
  9. 【請求項9】 前記ポリシリコンランディングパッドの
    端部に形成された側壁スペーサをさらに有することを特
    徴とする請求項1記載のデバイス。
  10. 【請求項10】 (A)半導体基板内にフィールド酸化
    物領域とそこから離間した活性領域とを形成するステッ
    プと、 (B)前記フィールド酸化物領域と活性領域のそれぞれ
    に関連して、第1と第2の自己整合接点ウィンドウ開口
    を形成するステップと、 (C)前記フィールド酸化物領域の上で、かつ前記第1
    の自己整合接点ウィンドウ開口の下にダミーのポリシリ
    コンランディングパッドを形成するステップと、 (D)前記ダミーのポリシリコンランディングパッドの
    上に、動作用ポリシリコンランディングパッドを形成す
    るステップと、ことを特徴とする半導体集積回路デバイ
    スの製造方法。
  11. 【請求項11】 (E)第1の自己整合接点エッチング
    ステップの間に、第2の自己整合接点ウィンドウ開口の
    第1上部部分と、第2の自己整合接点エッチングステッ
    プの間に第2の下部部分を形成するステップをさらに有
    することを特徴とする請求項10記載の方法。
  12. 【請求項12】 (F)前記第1の自己整合接点エッチ
    ングステップの間に、第1の自己整合接点ウィンドウ開
    口を形成するステップをさらに有することを特徴とする
    請求項11記載の方法。
  13. 【請求項13】 (G)自己整合接点エッチングステッ
    プの前に、窒化シリコン層を形成するステップをさらに
    有することを特徴とする請求項12記載の方法。
  14. 【請求項14】 (H)フローティングゲート製造プロ
    セスの間にダミーのランディングパッドを形成するステ
    ップをさらに有することを特徴とする請求項10記載の
    方法。
  15. 【請求項15】 (I)第2の自己整合接点ウィンドウ
    開口が酸化物製の薄膜層の上に形成されるよう、酸化物
    層の薄膜層を形成するステップをさらに有することを特
    徴とする請求項10記載の方法。
  16. 【請求項16】 (J)前記活性領域の部分の上に、ポ
    リシリコンランディングパッドを形成するステップをさ
    らに有することを特徴とする請求項10記載の方法。
  17. 【請求項17】 (K)前記活性領域の部分の上のポリ
    シリコンランディングパッドの端部に、側壁スペーサを
    形成するステップをさらに有することを特徴とする請求
    項10記載の方法。
  18. 【請求項18】 (L)前記動作用ポリシリコンランデ
    ィングパッドの端部に、側壁スペーサを形成するステッ
    プをさらに有することを特徴とする請求項10記載の方
    法。
JP2000362265A 1999-11-30 2000-11-29 半導体集積回路デバイスの製造方法 Expired - Fee Related JP4820978B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/451,054 US6483144B2 (en) 1999-11-30 1999-11-30 Semiconductor device having self-aligned contact and landing pad structure and method of forming same
US09/451054 1999-11-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008290462A Division JP2009060137A (ja) 1999-11-30 2008-11-13 半導体集積回路デバイス

Publications (2)

Publication Number Publication Date
JP2001189392A true JP2001189392A (ja) 2001-07-10
JP4820978B2 JP4820978B2 (ja) 2011-11-24

Family

ID=23790627

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000362265A Expired - Fee Related JP4820978B2 (ja) 1999-11-30 2000-11-29 半導体集積回路デバイスの製造方法
JP2008290462A Pending JP2009060137A (ja) 1999-11-30 2008-11-13 半導体集積回路デバイス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008290462A Pending JP2009060137A (ja) 1999-11-30 2008-11-13 半導体集積回路デバイス

Country Status (5)

Country Link
US (1) US6483144B2 (ja)
JP (2) JP4820978B2 (ja)
KR (1) KR100704132B1 (ja)
GB (1) GB2362756B (ja)
TW (1) TW471138B (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100380348B1 (ko) * 2001-01-11 2003-04-11 삼성전자주식회사 자기 정렬 콘택의 게이트 스페이서를 형성하는 방법
KR20220003870A (ko) 2020-07-02 2022-01-11 삼성전자주식회사 반도체 메모리 장치 및 그 제조 방법

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62122238A (ja) * 1985-11-22 1987-06-03 Hitachi Ltd 半導体装置
JPH03283570A (ja) * 1990-03-30 1991-12-13 Fujitsu Ltd 半導体装置及びその製造方法
JPH05218211A (ja) * 1991-12-13 1993-08-27 Nec Corp セルフアライン・コンタクト孔の形成方法
JPH06224196A (ja) * 1993-01-28 1994-08-12 Hitachi Ltd 半導体集積回路装置
JPH0774326A (ja) * 1993-09-01 1995-03-17 Seiko Epson Corp 半導体装置及びその製造方法
JPH1032246A (ja) * 1996-07-17 1998-02-03 Toshiba Microelectron Corp 半導体装置およびその製造方法
JPH10223867A (ja) * 1997-02-10 1998-08-21 Toshiba Corp 半導体装置及びその製造方法
JPH11186520A (ja) * 1997-12-22 1999-07-09 Oki Electric Ind Co Ltd 半導体装置の製造方法
JPH11224909A (ja) * 1997-12-05 1999-08-17 Seiko Epson Corp 不揮発性半導体記憶装置及びその製造方法
JP2000040806A (ja) * 1998-07-11 2000-02-08 Samsung Electronics Co Ltd Nor型フラッシュメモリ装置の製造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2021861B (en) * 1978-05-26 1982-09-29 Rockwell International Corp Field effect transistors
US4686000A (en) * 1985-04-02 1987-08-11 Heath Barbara A Self-aligned contact process
US5036378A (en) 1989-11-01 1991-07-30 At&T Bell Laboratories Memory device
US5166771A (en) 1990-01-12 1992-11-24 Paradigm Technology, Inc. Self-aligning contact and interconnect structure
JPH04218918A (ja) * 1990-04-27 1992-08-10 Fujitsu Ltd 半導体装置及びその製造方法
US5087584A (en) * 1990-04-30 1992-02-11 Intel Corporation Process for fabricating a contactless floating gate memory array utilizing wordline trench vias
KR930011462B1 (ko) * 1990-11-23 1993-12-08 현대전자산업 주식회사 다층배선의 단차를 완화시키는 방법
US5298792A (en) * 1992-02-03 1994-03-29 Micron Technology, Inc. Integrated circuit device with bi-level contact landing pads
JP2748070B2 (ja) * 1992-05-20 1998-05-06 三菱電機株式会社 半導体装置およびその製造方法
US5945738A (en) 1994-05-31 1999-08-31 Stmicroelectronics, Inc. Dual landing pad structure in an integrated circuit
JPH098008A (ja) * 1995-06-16 1997-01-10 Sony Corp 配線形成方法及び配線構造
SG71683A1 (en) * 1995-09-15 2000-04-18 Chartered Semiconductor Mfg Three-dimensional polysilicon capacitor for high density integrated circuit applications
WO1997014185A1 (en) 1995-10-11 1997-04-17 Paradigm Technology, Inc. Semiconductor device with a planarized interconnect with poly-plug and self-aligned contacts
US5719071A (en) 1995-12-22 1998-02-17 Sgs-Thomson Microelectronics, Inc. Method of forming a landing pad sturcture in an integrated circuit
JP3440671B2 (ja) * 1996-01-18 2003-08-25 ソニー株式会社 配線形成方法
JP3941133B2 (ja) * 1996-07-18 2007-07-04 富士通株式会社 半導体装置およびその製造方法
US5907779A (en) 1996-10-15 1999-05-25 Samsung Electronics Co., Ltd. Selective landing pad fabricating methods for integrated circuits
US5760458A (en) * 1996-10-22 1998-06-02 Foveonics, Inc. Bipolar-based active pixel sensor cell with poly contact and increased capacitive coupling to the base region
JP2923912B2 (ja) * 1996-12-25 1999-07-26 日本電気株式会社 半導体装置
US5780339A (en) 1997-05-02 1998-07-14 Vanguard International Semiconductor Corporation Method for fabricating a semiconductor memory cell in a DRAM
US5731236A (en) * 1997-05-05 1998-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Process to integrate a self-aligned contact structure, with a capacitor structure
US6004829A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company Method of increasing end point detection capability of reactive ion etching by adding pad area
US5866449A (en) 1997-10-27 1999-02-02 Taiwan Semiconductor Manufacturing Company Ltd. Method of making polysilicon-via structure for four transistor, triple polysilicon layer SRAM cell including two polysilicon layer load resistor
US5923988A (en) 1998-05-15 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Two step thermal treatment procedure applied to polycide structures deposited using dichlorosilane as a reactant

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62122238A (ja) * 1985-11-22 1987-06-03 Hitachi Ltd 半導体装置
JPH03283570A (ja) * 1990-03-30 1991-12-13 Fujitsu Ltd 半導体装置及びその製造方法
JPH05218211A (ja) * 1991-12-13 1993-08-27 Nec Corp セルフアライン・コンタクト孔の形成方法
JPH06224196A (ja) * 1993-01-28 1994-08-12 Hitachi Ltd 半導体集積回路装置
JPH0774326A (ja) * 1993-09-01 1995-03-17 Seiko Epson Corp 半導体装置及びその製造方法
JPH1032246A (ja) * 1996-07-17 1998-02-03 Toshiba Microelectron Corp 半導体装置およびその製造方法
JPH10223867A (ja) * 1997-02-10 1998-08-21 Toshiba Corp 半導体装置及びその製造方法
JPH11224909A (ja) * 1997-12-05 1999-08-17 Seiko Epson Corp 不揮発性半導体記憶装置及びその製造方法
JPH11186520A (ja) * 1997-12-22 1999-07-09 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2000040806A (ja) * 1998-07-11 2000-02-08 Samsung Electronics Co Ltd Nor型フラッシュメモリ装置の製造方法

Also Published As

Publication number Publication date
US20020000601A1 (en) 2002-01-03
TW471138B (en) 2002-01-01
GB0028276D0 (en) 2001-01-03
GB2362756B (en) 2002-06-05
JP4820978B2 (ja) 2011-11-24
US6483144B2 (en) 2002-11-19
KR20010052043A (ko) 2001-06-25
KR100704132B1 (ko) 2007-04-09
GB2362756A (en) 2001-11-28
JP2009060137A (ja) 2009-03-19

Similar Documents

Publication Publication Date Title
US5792687A (en) Method for fabricating high density integrated circuits using oxide and polysilicon spacers
US6727539B2 (en) Embedded vertical DRAM arrays with silicided bitline and polysilicon interconnect
US6815752B2 (en) Semiconductor memory device for increasing access speed thereof
US7560353B2 (en) Methods of fabricating memory devices with memory cell transistors having gate sidewall spacers with different dielectric properties
JP2000299448A (ja) ダイラムセルキャパシタ及び製造方法
US6072241A (en) Semiconductor device with self-aligned contact and its manufacture
US6352891B1 (en) Method of manufacturing semiconductor device in which hot carrier resistance can be improved and silicide layer can be formed with high reliability
US6329232B1 (en) Method of manufacturing a semiconductor device
US6177304B1 (en) Self-aligned contact process using a poly-cap mask
US20030064562A1 (en) Contact structure a semiconductor device and manufacturing method thereof
US5234853A (en) Method of producing a high voltage MOS transistor
US6486033B1 (en) SAC method for embedded DRAM devices
US6448130B1 (en) Method of selectively forming silicide film of merged DRAM and Logic
JPH10242419A (ja) 半導体装置の製造方法及び半導体装置
US5140392A (en) High voltage mos transistor and production method thereof, and semiconductor device having high voltage mos transistor and production method thereof
US7067374B2 (en) Manufacturing methods and structures of memory device
US7034354B2 (en) Semiconductor structure with lining layer partially etched on sidewall of the gate
JP4820978B2 (ja) 半導体集積回路デバイスの製造方法
JP2003158206A (ja) フラットセルメモリ素子のシリサイド膜製造方法
US6797557B2 (en) Methods and systems for forming embedded DRAM for an MIM capacitor
US20050255661A1 (en) Semiconductor device and manufacturing method therefor
JP2005203615A (ja) 半導体記憶装置、半導体装置およびそれらの製造方法
JPH1197529A (ja) 半導体装置の製造方法
KR100408423B1 (ko) 비트 라인 기생 커패시턴스를 감소시킬 수 있는 반도체메모리 소자 및 그 제조방법
KR100419751B1 (ko) 반도체소자의 제조방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060517

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080303

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080716

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081113

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090108

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20090703

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110118

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110121

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110218

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110223

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20110418

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20110518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110624

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110811

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140916

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees