JP2008522031A - 層状にコーティングされたプロセスチャンバのコンポーネント及び方法 - Google Patents

層状にコーティングされたプロセスチャンバのコンポーネント及び方法 Download PDF

Info

Publication number
JP2008522031A
JP2008522031A JP2007543282A JP2007543282A JP2008522031A JP 2008522031 A JP2008522031 A JP 2008522031A JP 2007543282 A JP2007543282 A JP 2007543282A JP 2007543282 A JP2007543282 A JP 2007543282A JP 2008522031 A JP2008522031 A JP 2008522031A
Authority
JP
Japan
Prior art keywords
coating
gas
coating layer
nozzle
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007543282A
Other languages
English (en)
Other versions
JP5058816B2 (ja
Inventor
イシング リン
ダイジアング スウ
クリフォード ストウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008522031A publication Critical patent/JP2008522031A/ja
Application granted granted Critical
Publication of JP5058816B2 publication Critical patent/JP5058816B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/02Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings only including layers of metallic material
    • C23C28/021Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D only coatings only including layers of metallic material including at least one metal alloy layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C28/00Coating for obtaining at least two superposed coatings either by methods not provided for in a single one of groups C23C2/00 - C23C26/00 or by combinations of methods provided for in subclasses C23C and C25C or C25D
    • C23C28/40Coatings including alternating layers following a pattern, a periodic or defined repetition
    • C23C28/44Coatings including alternating layers following a pattern, a periodic or defined repetition characterized by a measurable physical property of the alternating layer or system, e.g. thickness, density, hardness
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C30/00Coating with metallic material characterised only by the composition of the metallic material, i.e. not characterised by the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/131Wire arc spraying
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12743Next to refractory [Group IVB, VB, or VIB] metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/1275Next to Group VIII or IB metal-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/1275Next to Group VIII or IB metal-base component
    • Y10T428/12757Fe
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/12736Al-base component
    • Y10T428/12764Next to Al-base component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/24992Density or compression of components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249981Plural void-containing components
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249987With nonvoid component of specified composition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]

Abstract

基板処理チャンバのコンポーネントは、プロセスチャンバ内の活性化されたガスに露出されうる。このコンポーネントは下地の構造及び第1、第2のコーティング層を有する。第1のコーティング層は下地の構造の上に形成され、約25マイクロメーター未満の平均表面粗さを有する第1の表面を有する。第2のコーティング層は第1のコーティング層の上に形成され、少なくとも約50マイクロメーターの平均表面粗さを有する第2の表面を有する。プロセスの残渣物は、処理される基板へのコンタミネーションを低減するために、第2のコーティング層の表面に付着しうる。

Description

背景
本発明は基板処理チャンバのためのコンポーネントに関する。
半導体ウェハーやディスプレイのような基板の処理において、基板は、プロセスチャンバ内に置かれ、基板上に材料を堆積し、又は、エッチングをするために、活性化したガスに曝される。そのようなプロセスの間に、プロセスの残渣物が生成され、チャンバの内部表面上に堆積しうる。例えば、スパッタの堆積プロセスにおいて、基板上への堆積のためのターゲットからスパッタされた材料は、デポジションリング、シャドーリング、ウォールライナー、及びフォーカスリング等のチャンバ内の他のコンポーネントの表面にも堆積する。次のプロセスのサイクルにおいて、堆積したプロセスの残渣物はチャンバの表面に剥がれ落ち、基板の上に落ちて基板を汚染することとなる。
プロセスの残渣物による基板の汚染を低減するために、チャンバ内のコンポーネントの表面はテキスチャード加工される。プロセスの残渣物は、露出したテキスチャード加工された表面によりよく密着し、剥がれ落ちることなく、チャンバ内の基板を汚染することを防ぐ。テキスチャード加工されたコンポーネントの表面は、例えば、アプライドマテリアルズに共通に譲渡された、2004年8月17日に発行されたシーニュング・リン(Shyh-Nung Lin)らによる米国特許第6,777,045号、及びアプライドマテリアルズに共通に譲渡された2004年4月27日に出願されたリン(Lin)等による米国特許出願第10/833,975号に記載されるように、コンポーネントの粗くした表面をコーティングすることにより形成されうる。なお、これらの米国特許及び米国特許出願はその全体において本明細書において参照され、組み込まれる。より粗い表面粗さを有するコーティングは、チャンバ内においてプロセス処理される基板のコンタミネーションを低減するために、基板処理の間、プロセスの残渣物をより蓄積せしめ、保持することができる。
しかしながら、コーティング上にもたらされる表面粗さの程度は、下地のコンポーネントの構造へのコーティングの結合特性により制限されうる。例えば、より粗い表面を有するコーティングほど、即ち、プロセスの残渣物の密着性が改善されるほど、下地の構造に対し、一般には、弱い結合となるというジレンマが現行のプロセスにはある。このことは、例えば、セラミック若しくはステンレススティールのコンポーネント上へのアルミニウムのコーティングなどの、異なる組成を有するコンポーネント上のコーティングについて言えることである。より弱く密着したコーティングを伴った基板の処理は、下地の構造からのコーティングの層間剥離、クラッキング、及び剥がれ落ちとなってしまう。チャンバ内のプラズマはコーティングの損傷した領域を貫通し、下地の構造の露出した表面を劣化させ、ついにはコンポーネントの不具合へとつながる。このようにコーティングされたコンポーネントは一般には、十分な結合力及び良好な残渣物の密着特性の両者をもたらさない。
このように、コンポーネントからコーティングの層間剥離が実質的に起こることなく、コンポーネントの表面へのプロセスの残渣物の改善された密着性をもたらすコーティングされたコンポーネント及び方法が望ましい。更に、プロセスの残渣物の密着性を改善するために、より粗くされた表面粗さを有する良好に結合されたコーティングを提供するコーティングされたコンポーネント及び方法が望ましい。
概要
1つの特長において、プロセスチャンバ内において、活性化されたガスに曝されうる基板の処理チャンバのコンポーネントは、下地の構造及び第1及び第2のコーティング層を有する。第1のコーティング層は下地の構造の上に形成され、約25マイクロメーター未満の平均の表面粗さを有する第1の表面を有する。第2のコーティング層は第1のコーティング層の上に形成され、少なくとも約50マイクロメーターの平均の表面粗さを有する第2の表面を有する。プロセスの残渣物は、処理される基板のコンタミネーションを低減するために、第2のコーティング層の表面に付着しうる。
別の特長において、基板の処理チャンバのコンポーネントは、ステンレススティール、アルミニウム及びチタンの内の少なくとも1つの下地の構造を有する。このコンポーネントは下地の構造の上に、アルミニウムからなる、第1の溶射されたコーティング層を有し、この第1の溶射されたコーティング層は(i)約10%未満のポロシティ(空隙率)、(ii)約25マイクロメーター未満の平均の表面粗さを有する第1の表面を有する。また、このコンポーネントは第1の溶射されたコーティング層の上に、アルミニウムからなる、第2の溶射されたコーティング層を有し、この第2の溶射されたコーティング層は(i)少なくとも約12%のポロシティ及び(ii)少なくとも約50マイクロメーターの平均の表面粗さを有する第2の表面を有する。プロセスの残渣物は処理される基板のコンタミネーションを低減するために、第2の表面に付着する。
1つの特長において、基板処理チャンバのコンポーネントを製造する方法は、下地の構造を提供し、この下地の構造上に第1のコーティング層を溶射することを含む。第1の溶射パラメータは、第1のコーティング層上に、約25マイクロメーター未満の平均の表面粗さを有する第1の表面を形成するよう維持される。第2のコーティング層は第1のコーティング層の上に溶射され、第2のコーティング層上に、少なくとも約50マイクロメーターの平均の表面粗さを有する第2の表面を形成するように、第2の溶射パラメータが維持される。
別の特長において、所定の構造の上にコーティングを形成することができるツインワイヤーアークの溶射器が提供される。この溶射器は、消耗される電極を有する電極のうちの少なくとも1つの間で電気的アークを発生するためにバイアスされうることができる第1及び第2の電極を有する。また、この溶射器は電極を通過する加圧されたガスを方向付けるために、加圧ガスの供給源を有し、そこから加圧ガスが流れ出すノズルを有する。このノズルは加圧ガスを受ける導管と、この導管に取り付けられた入口を有する円錐形状部分と、加圧ガスを放出する出力口とを有する。この円錐形状部分は入力口から出力口の方向に延びる傾斜のある円錐形状の側壁を有する。この入力口は第1の直径を有し、出力口は第2の直径を有し、第2の直径は第1の直径の大きさの少なくとも約1.5倍であり、これによりノズルを通過して流れる加圧ガスは、コーティングの所定の表面粗さの平均を提供するよう選択されうる。消耗可能な電極は、少なくとも融解された材料を形成するために電気的アークにより少なくとも部分的に溶解され、融解材料は、ノズルを介し、加圧ガスにより溶射され、所定の構造上にコーティングが形成される。このノズルにより、コーティングの所定の表面粗さの平均がもたらされるように、加圧ガスの圧力が選択される。
詳細な説明
基板処理チャンバ内で用いられるのに好適なコンポーネント20が図1に示されている。コンポーネント20は、プロセスの残渣物が付着し、又、下地のコンポーネントのエロージョンを防ぐテキスチャード加工された表面25を有するコーティング22を含む。コーティング22を有するコンポーネント20は、例えば、チャンバ106内にプロセスガスを供給するガス供給システム112の1つ、または、1つ以上の部分、チャンバ106内で基板104を支持する基板支持部114、プロセスガスを活性化するガスエナジャイザー116、チャンバの包囲壁118及びシールド120、及びチャンバ106からガスを排出するガス排出口122などの、エロージョン、及び/又は、プロセスの堆積物の積みあがったものに対して影響を受けやすい、チャンバ106内のコンポーネントであり得、それらの全ての例示的な実施形態は第4図に示される。例えば、物理的蒸着チャンバ106内において、コーティングされたコンポーネントは、チャンバの包囲壁118、チャンバのシールド120、ターゲット124、カバーリング126、デポジションリング128、サポートリング130、インシュレーターリング132、コイル135、コイルサポート137、シャッターディスク133、クランプシールド141、及び基板支持部114の表面134のいかなるものも含みうる。
チャンバのコンポーネント20は、図1に示されるように、構造24の少なくとも一部分をカバーする被覆コーティング22を有する下地の構造24を含む。下地の構造24は、基板処理の環境下において形成された活性化されたガスなどの活性ガスによるエロージョンに対して抵抗性のある材料を含む。例えば、構造24はアルミニウム、チタン、タンタル、ステンレススティール、銅、及びクロムの少なくとも1つなどの金属を含む。1つの実施形態においては、改善されたコロージョンに対し抵抗性を含む構造24は、アルミニウム、チタン、ステンレススティールの内の少なくとも1つを含む。また、構造24は、アルミナ、シリカ、ジルコニア、窒化シリコン、及び、窒化アルミニウムのうちの少なくとも1つなどを例えば含むセラミック材料を含む。構造24の表面26は、コーティング22に接触し、望ましくは、構造24を被覆するコーティング層22の密着性を改善する表面粗さを有する。例えば、表面26は約2.0マイクロメーター(80マイクロインチ)少なくとも約2.0マイクロメーター(80マイクロインチ)の表面粗さを有しうる。
基板の処理は、コーティング材料からなる少なくとも2つのコーティング層30a、bを含むコーティング22を提供することにより改善されうることが発見されている。多層のコーティング22は下地の構造24へのコーティングの良好な結合をもたらすよう選択されている特性を有し、かつ、プロセスの残渣物の密着性を改善する特性を有するコーティング層30a、bを有する。好ましくは、コーティング22は下地の構造24の表面26の少なくとも一部分を覆うよう形成された第1の層30a及び第1の層の少なくとも一部分の上に形成される第2の層30bを含む。第1及び第2の層30a、bのうちの少なくとも1つのための適宜な材料は、例えば、アルミニウム、銅、ステンレススティール、タングステン、チタン、ニッケルのうちの少なくとも1つなどの金属材料を含むかもしれない。また、第1及び第2の層30a、bのうちの少なくとも1つは、例えば、酸化アルミニウム、酸化シリコン、炭化シリコン、炭化ホウ素、窒化アルミニウムのうちの少なくとも1つなどのセラミック材料を含むかもしれない。一実施形態において、コーティング22は、ステンレススティール及びアルミナのうちの少なくとも1つを含む下地の構造24の上に形成されたアルミニウムからなる1つ以上の層30a、bを含む。コーティング22は2つの層30a、bのみからなっているが、コーティング22は改善された処理特性をもたらす複数の層の材料をも含みうる。
コーティング22は好ましくは下地の構造24の表面26への強い結合力をもたらす特性を有する第1の層30aを含む。一実施形態において、下地の構造24の表面26への第1の層30aの良好な結合力を提供するために、十分に低い第1の平均表面粗さを有するテキスチャード加工された表面32を有する第1の層30aにより、より改善された結果がもたらされる。表面の粗さの平均は、表面にかけての粗い表面形状の頂上と谷との平均線からの変位の絶対値の平均である。より低い表面粗さを有する第1の層30sは、層30と下地の表面26との間の、より良好な接触領域などの良好な結合特性を示す。また、典型的には、より低い表面粗さの第1の層30aであれば、低減されたポロシティ(空隙率)を含み、結合インターフェースの所でのボイド及び気孔の数が少なくなるので、下地の表面26への結合力が改善されうる。好適な第1の層30aは、例えば、約15マイクロメーター(600マイクロインチ)から約23マイクロメーター(900マイクロインチ)、更には、約20マイクロメーター(800マイクロインチ)などの、約25マイクロメーター(1000マイクロインチ)未満の表面粗さの平均を有する表面32を含む。第1の層30aの適宜なポロシティは、容量にして約5%から9%などの、約10%未満のものである。第1の層30aの厚さは、下地の表面26への良好な密着性をもたらすよう選択されうるとともに、エロージョンに対する良好な抵抗性をもたらし、例えば、約0.15mmから約0.20mmまでなどの、約0.10mmから約0.25mmの範囲のものである。
更に、コーティング22は、プロセスの残渣物の改善された密着性をもたらす露出したテキスチャード加工された表面25を有する、第1の層30aの少なくとも一部分の上に形成される第2のコーティング層30bを含む。例えば、第2のコーティング層30bは、第1の層30bのそれより大きい表面粗さ平均を有する露出したテキスチャード加工された表面25を含む。露出したテキスチャード加工された表面25からの物質の剥がれ、若しくは、剥離の生じることを減らし、コンポーネント20とともに処理される基板104のコンタミネーションを防ぐために、露出した第2の層の表面30bのより粗い表面粗さの平均によって、露出した表面への、プロセスの残渣物の密着性が向上する。プロセス残渣物の密着性の改善をもたらすに好適な露出したテキスチャード加工された表面25の表面粗さの平均は、約56マイクロメーター(2200マイクロインチ)から約66マイクロメーター(2600マイクロインチ)などのような、少なくとも約56マイクロメーター(2200マイクロインチ)か、若しくは、少なくとも約50マイクロメーター(2000マイクロインチ)の表面粗さの平均である。また、より粗い表面粗さを有する第2の層30bは、第1のコーティング層30aのポロシティよりも大きい値の、より増加されたポロシティのレベルを有し、そのレベルは、例えば、容量にして約12%から約25%の範囲であり、容量にして少なくとも約15%、また、少なくとも約12%である。活性化されたガスによるエロージョンに対する良好な抵抗性を維持しながら、第1の層30aの表面32への第2の層30bの良好な密着性をもたらすに十分な第2の層30bの厚さは約0.20mmから約0.25mmまでなどの、約0.15mmから約0.30mmまでの範囲のものであるかもしれない。
第1及び第2の層30a,bを含むコーティング22は、コーティング22への残渣物の密着性とともに、下地の構造24に対するコーティング22の結合においても実質的な改善をもたらす。第1のより低い表面粗さの平均を含む第1の層30aは、下地の構造24の表面26に対し強い結合力を形成することができ、下地の構造24に対しコーティング22をしっかりと固定する。第2の、より高い平均表面粗さを含む第2の層30bは、より低い平均の表面粗さを有する表面より、より大きい量のプロセス残渣物を蓄積し保持することができ、これにより、コーティング22を有するコンポーネント20のプロセス能力を改善する。従って、第1及び第2のコーティング層22を有するコーティング22は、構造24からのコーティング22の剥離をより少なくして基板の処理におけるパフォーマンスを改善し、処理された基板104のコンタミネーションを低減する。
一実施形態において、第1及び第2のコーティング層30a,bは好ましくは、2つの層30a,bの間の結合力を向上せしめる材料からなる組成物を含む。例えば、第1及び第2のコーティング層30a,bは熱膨張の不整合からもたらされる層30a,bの剥がれを低減するために、約5%未満の差のある熱膨張係数などの実質に類似する熱膨張係数を有する材料から構成される。より好ましい実施形態においては、第1及び第2の層30a,bは、第1及び第2の層30a,bの最適な密着性及び熱整合性をもたらすために、同じ組成物を含む。例えば、第1及び第2の層30a、bはアルミニウムから構成されうる。同じ材料を含む第1及び第2の層30a,bは相互によく合った特性を有し、処理環境において異なるストレスに対して同様に応答するので、より高い平均表面粗さを有する第2の層が第1の層に対する第2の層の良好な密着性を維持しつつもたらされうる。
第1及び第2の層30a,bの表面粗さの平均は、表面32、25の各々の上に針を通過せしめ、表面上の凹凸の高さの変動のトレースを生成する表面形状測定装置により、又は、表面のイメージを生成するために、表面から反射する電子ビームを用いる走査型電子顕微鏡により決定されるかもしれない。粗さの平均若しくは他の特性などの表面の特性を測定する際に、適宜なカットオフ長さ及び評価長さを特定する国際基準ANSI/ASME B.46.1‐1995が用いられうる。次の表1は、この基準により定義されるように、粗さ平均の値、適宜なカットオフ長、及び、最小及び典型的な評価長さを示している。
Figure 2008522031
コーティング22は、プロセス残渣物の密着性を向上せしめ、下地の構造により強固に結合しうるので、第1及び第2の層30a,bを含むコーティング22は、単に一層を有するコーティングよりも、より改善された結果をもたらす。例えば、約25マイクロメーター(1000マイクロインチ)未満の表面粗さの平均を有する第1の層30a及び約51マイクロメーター(2000マイクロインチ)より大きい表面粗さの平均を有する第2の層30bを含むコーティング22は、実質的に基板へのコンタミネーションなしで、少なくとも約200RF―時間の間、基板104を処理するのに用いられることができる。対照的に、従来の単一層のコーティングは、基板のコンタミを防ぐためにコンポーネントの清浄が行われる前に、約100RF時間より少ない時間しか基板104を処理することができない。
下地の構造24を保護するために、コーティング22と下地の構造24との間に強固な結合をもたらす方法によってコーティング層30a、bがもたらされる。例えば、コーティング層30a、bのうちの1つ又は両者はツインワイヤーアーク溶射プロセス、溶射プロセス、プラズマ溶射プロセス、酸素ガス溶射プロセスのうちの1つ、若しくは、それ以上の熱溶射プロセスにより吹き付けられる。熱溶射プロセスに代わって、若しくは、それに追加的に、コーティング層の1つ若しくは、複数層が化学的若しくは物理的蒸着プロセスにより形成されうる。一実施形態においては、下地の構造24の表面26は、層30a、bの堆積の前にビーズによりブラスト加工され、表面26から遊離したパーティクルが取り除かれる。これにより、引き続き吹き付けられるコーティング22の密着性が改善され、かつ、第1の層30aへの結合のための最適な表面加工がもたらされる。このビーズによりブラスト加工された表面26は、ビーズ粒子を取り除くために洗浄され、コーティング層30a、bの良好な密着性をもたらすために表面26に残る湿気を蒸発するよう乾燥されうる。
一実施形態において、第1及び第2のコーティング層30a、bは、例えば、本明細書においてその全体が参照され組み込まれる、2001年5月8日に発行されたラザールズ等に付与された米国特許第6,227,435号B1、及び1997年12月9日に発行された、シュラッグス等に付与された米国特許第5,695,825号に記述されるような、ツインワイヤー溶射プロセスによりコンポーネント20に吹き付けられる。ツインワイヤー熱溶射プロセスにおいて、熱吹付器400は、例えば、図2に示されるように、アーキングゾーン450において電気的アークが生成されるように形成され、角度がつけられた2つの消耗可能な電極490、499を含む。例えば、消耗可能な電極490、499は、最も近傍の点の付近で電気的放電が生じるように、相互に向けて角度がつけられ、コンポーネント20の表面上にコートされるべき金属から形成される、一対のワイヤーを含む。例えば、電力供給源452からの電圧が、消耗可能な電極490、499に印加され、空気、窒素、若しくはアルゴンのうちの1つ又はそれ以上のガスなどのキャリアガスが、電極490、499の間を流されると、消耗可能な電極490、499の間に電気アーク放電が生成される。キャリアガスは、加圧されたガス源456を含むガス供給源454、および、加圧されたキャリアガスが電極490、499を通るように方向付ける導管458若しくは他の方向付け手段により供給される。電極490、499の間のアーキングは、電極490、499上の金属を原子化し、少なくとも部分的に液状化する。アーキング電極490、499により活性化されたキャリアガスは、熱吹付器400からの溶解分子を、コンポーネント20の表面26の方向に推進せしめる。この溶解分子はコンポーネントの表面に衝突し、それらは冷やされ、均一なコーティング層30a,bを形成するために凝縮する。消耗可能なワイヤーなどの消耗可能な電極490,499は、金属製の材料を連続的に供給するために、熱吹付器に連続的にフィードされるかもしれない。
熱溶射の間の動作パラメータは、熱吹付器からコンポーネントへの経路を通過する間の、コーティング材料の温度及び速度などのコーティング材料の吹き付け特性を調整するように適宜、選択される。例えば、キャリアガスの圧力、パワーレベル、ワイヤーのフィードレート、熱吹付器から表面26への離間距離、表面26に対するコーティング材料の蒸着角度は、コーティング材料の吹き付け、及び、その結果生じる、下地の構造の表面26へのコーティング22の密着性を改善するために選択されうる。例えば、消耗可能な電極490、499の間の電圧は約30ボルトなどの、約10ボルトから約50ボルトの間から選択されるかもしれない。更に、消耗可能な電極490、499の間を流れる電流は、約200アンペアなどの、約100アンペアから約1000アンペアの間から選択されうるかもしれない。熱吹付器のパワーレベルは通常、約10kWなどの、約6kWから約80kWの間の範囲からのものである。
また、離間距離及び蒸着の角度は、表面26上へのコーティング材料の蒸着特性を調整するよう選択されうる。例えば、離間距離及び蒸着角度は、「パンケーキ」「ラメラ」パターンを形成するために、溶融したコーティング材料が表面への衝突時にスパッタするパターンを変形するように調整されうる。また、離間距離及び蒸着角度は、コーティング材料が表面26に衝突するときのコーティング材料の位相、速度、及び液滴の大きさを変更するよう調整されうる。一実施形態において、熱吹付器400と表面との間の離間距離は約15cmであり、表面26へのコーティング材料の蒸着角度は約90度である。
コーティング材料の速度は表面26へコーティング材料を適宜に堆積するよう調整されうる。一実施形態において、粉末化されたコーティング材料の速度は、約100m毎秒から約300m毎秒である。また、熱吹付器400は、コーティング材料の温度が、コーティング材料が表面に衝突するときに少なくとも約溶融温度であるように、調整されうる。溶解温度より高い温度は高い濃度及び結合力のコーティングをもたらしうる。例えば、電気放電のまわりの活性化したキャリアガスの温度は5000℃を超えるかもしれない。しかしながら、電気放電のまわりの活性化されたキャリアガスの温度は、コーティング材料が表面26に衝突したとき所定の時間だけ溶解したままであるように十分低いようにセットされうる。例えば、適宜な時間は少なくとも約数秒である。
熱溶射プロセスのパラメータは、好ましくは、例えば、コーティングされたコンポーネント20のパフォーマンスを改善するのに貢献する、コーティングの所要のコーティング厚さ、コーティングの表面粗さ、及び、コーティングのポロシティ(空隙率)などの所要の構造及び表面特性を有する層30a、bを備えたコーティング22をもたらすよう選択される。一実施形態において、第1の層30aを形成する第1のステップの間、第1の熱溶射プロセスパラメータを維持することにより、及び、より高い表面粗さの平均を有する第2の層30bを形成するための第2のステップの間、第2のパラメータセットに熱溶射プロセスパラメータを変更することにより、コーティング22は形成される。例えば、第1の熱溶射プロセスパラメータは、より低い平均の表面粗さを有する表面32を有する第1の層30aを形成するために好適なものであり、他方、第2の熱溶射プロセスパラメータは、より高い平均表面粗さを有する表面32を有する第2の層30bを形成するために好適なものであるかもしれない。
一実施形態において、第1の層30aを堆積するための第1の熱溶射プロセスパラメータはキャリアガスの比較的高い第1の圧力を含み、第2の層30bを堆積するための第2の熱溶射プロセスパラメータは第1の圧力より低い、キャリアガスのための比較的低い第2の圧力を含む。例えば、第1の層30aの堆積の間に維持されるキャリアガスの第1の圧力は、約275kPa(40PSI)から約415kPa(60PSI)までの範囲であり、少なくとも約200キロパスカル(30平方インチ当たりのポンド)であるかもしれない。キャリアガスのより高い圧力は構造表面26上の溶射されたコーティング材料のより精密な充填をもたらし、その結果、より低い平均表面粗さの層をもたらすと考えられる。第2の層30bの堆積の間に維持されるキャリアガスの第2の圧力は、少なくとも約200kPa(30PSI)未満であり、約100kPa(15PSI)から約175kPa(25PSI)までの範囲、或いは、約175kPa(25PSI)以下である。また、他のパラメータは、より望ましい層の特性をもたらすために、第1及び第2の層30a、bの堆積の間に変更されうる。
一実施形態において、第1のアルミニウムの層30aを堆積するための第1の熱溶射プロセスは、約415kPa(60PSI)のキャリアガスの第1の圧力を維持することを含み、他方、約10Wのパワーレベルを電極490、499に印加する。下地の構造24の表面26からの離間距離は約15cm(6インチ)に維持され、表面26に対する堆積角度は約90度に維持される。第2のアルミニウムの層30bを堆積するための第2の熱溶射プロセスは、キャリアガスの第2の圧力を約175kPa(25PSI)に維持し、約10Wのパワーレベルを電極490、499に印加することを含む。第1のアルミニウムの層30aの表面32からの離間距離は約15cm(6インチ)に維持され、表面32への堆積角度は約90度に位置される。
本発明の原理に従い、同じ熱溶射器400を用いて、より高い及びより低い表面粗さの平均を有する第1及び第2の層30a、bの両者の形成することができる、改善された熱溶射器400が開発された。一実施形態において、改善された熱溶射器400は改善されたノズル402を含み、その実施形態は図3a及び図3bに示される。改善されたノズルは、加圧されたガス及び溶融されたコーティング粒子を受ける導管404を含み、溶融したコーティング材料をコンポーネントの構造に吹き付けるため熱溶射器400からの加圧されたガス及び溶融された粒子を放出する円錐形状部分406を含む。導管404は電気的なアーキングゾーンから導管へと流れる加圧されたガス及びコーティング粒子を受けるための入口403を含む。円錐形状部分406は導管404からの加圧されたガス及びコーティング粒子を受けるための入口405を含み、ノズル402からガス及び溶融されたコーティング粒子を放出するための出口407を有している。
円錐形状部分406の壁は、円錐形状部分の入口405の所の第1の直径dから、円錐形状部分の出口407の所の第2の直径dへと、円錐形状部分406の中央軸409を中心に外側に広がるような傾斜を有する円錐形状の側壁408を含む。この傾斜を有する円錐形状の側壁408は、入口405の所の狭い流路から、徐々に広がり、出口407の所のより広い流路となる、円錐形状の流路をその部分にもたらす。例えば、円錐形状の側壁408は、約10mmから約23mmの範囲、更には、約10mmから約15mmの範囲など、約5mmから約23mmの範囲の第1の直径を含む。第2の直径は、約23mmから約25mmの範囲などの、約20mmから約35mmの間のものである。出口407のより好ましい第2の直径は、例えば、入口の直径のサイズの約1.5倍から約2倍などの第1の入口405の第1の直径のサイズの少なくとも1.5倍である。傾斜を有する円錐形状の側壁408は、約90度などの、約60度から約120度の範囲の相互の交わり角度αで傾斜する。
加圧ガスおよび溶融したコーティング粒子は、改善されたノズル402を通って、所定の範囲の表面粗さの平均を有するコーティング層30a、bの堆積をもたらす。より小さい第1の直径は比較的低い平均の表面粗さの範囲に好適であり、より大きい第1の直径は比較的高い平均の表面粗さの範囲をもたらすなど、円錐形状部分の入口405の第1の直径dは、第1及び第2の層30a、bの望まれる最小及び最大の表面粗さに基づいて選択されうる。第2の直径dは、所要のコーティング特性を提供するために、溶射されたコーティング材料の所望の拡散及び分配を提供するような大きさとされる。そして、溶射プロセスパラメータは、所要の平均の表面粗さをもたらすよう選択される。例えば、キャリアガスの比較的高い圧力は比較的低い平均表面粗さを有する第1の層30aを形成するようもたらされ、これに対し、キャリアガスの比較的低い圧力は比較的高い平均表面粗さを有する層30bを形成するためもたらされる。少なくとも部分的には、コーティング材料の高い供給量にも拠るが、より低い表面粗さの構造を作るために、ガスの圧力を高くすれば、コンポーネントの構造の表面上に、より堅固に、かつ、均質的に、溶融したコーティング材料を充填することができると考えられている。より低い圧力であれば、より低い供給量率となり、これにより、より高いポロシティ(空隙率)、及び、より高い平均表面粗さを有するコーティング構造となる。改善されたノズル402により、実質的に、各層30a、bに対して別個の装置コンポーネントを必要とすること、または、様々な溶射パラメータを再設定することなくして、コンポーネント上に異なる平均表面粗さを有する層30a、bの効率的な生成が可能となると共に、コーティング粒子の拡散及び分配などの、所望の溶射特性を達成することができる。
コーティング22が施されると、コーティング22の表面25から遊離したコーティング粒子又は他の汚染物が取り除かれる。表面25は、水、酸性のクリーニング溶剤、基本的なクリーニング溶剤のうちの少なくとも1つなどのクリーニング液体により、洗浄されうる。また、コンポーネント20を超音波により振動させることにより表面25は洗浄されうる。一実施形態において表面25は脱イオン化水により洗浄処理されることにより洗浄される。
また、コーティングされたコンポーネント20は、堆積したプロセスの残渣物及びコーティング22の劣化された部分をコンポーネント20から取り除くために、1つ又はそれ以上の基板104を処理した後、洗浄され、改装される。一実施形態において、コンポーネント20は、コーティング22及びプロセスの残渣物を取り除くことにより、及び、コーティング層30a、bを吹付けの前に下地の表面26を洗浄するために様々な洗浄プロセスを行うことにより、改装されうる。下地の構造26を洗浄することは、下地の構造24とそれに続く再形成されたコーティング22との間のより高められた結合をもたらす。例えば、本出願と同じようにアプライドマテリアルズに譲渡され、本明細書に参照され組み込まれる、2004年4月27日に出願されたリン等に発行された、米国特許出願第10/833、975号の米国特許出願に記述されるようなクリーニング方法により、クリーニングされ、下地の構造が洗浄されると、コーティング22は下地の構造24の表面26上に再形成されうる。
コーティング層30a、bを有するコンポーネントを備えた適宜なプロセスチャンバ106の例が4図に示されている。チャンバ106は、チャンバ106との間で基板104を搬送するロボットアームのメカニズムにより相互接続されたチャンバのクラスタを有するマルチチャンバプラットホーム(図示せず)の一部分でありうる。図示の例において、プロセスチャンバ106は、物理的蒸着若しくはPVDチャンバとも呼ばれるスパッタデポジションチャンバであり、タンタル、窒化タンタル、チタン、窒化チタン、銅、タングステン、窒化タングステン及びアルミニウムのうちの1つ、若しくは、複数の材料を基板104上へスパッタ蒸着することができる。チャンバ106は、側壁164、底の壁166、天井168を有して、プロセスゾーン109を包囲する包囲壁118を含む。サポートリング130は、天井168を支持するために、側壁164と天井168との間に配置されうる。他のチャンバの壁はスパッタリング環境から包囲壁118をシールドする1つ又はそれ以上のシールド120を含みうる。
チャンバ106は、スパッタデポジションチャンバ106内で基板を支持するための基板支持体130を含む。基板支持体130はRF電源などの、電力供給172によりバイアスされる電極170を含み、電気的に浮いている。又、基板支持体130は基板104が存在しないときに、支持体130の上側表面134を保護するためのシャッターディスク133を含む。動作中、基板104は、チャンバ106の側壁164内の基板導入口(図示せず)を通してチャンバ106に導入され、支持体130上に載置される。支持体130は、支持体のリフトベローズにより、上に上げられたり下に下げられたりする。リフトフィンガーアセンブリ(図示せず)は、チャンバ106への基板104の搬入、及び、チャンバ106からの基板104の搬出の間、支持体130上の基板を上に上げたり下に下げたりするのに用いられる。
また、支持体130のエロージョンを防ぐために、支持体130は、支持体130の上側表面134の少なくとも一部分を覆う、カバーリング126及びデポジションリング128などの1つ以上のリングを含む。一実施形態においては、デポジションリング128は、基板104によりカバーされていない支持体130の部分を保護するために、基板104を少なくとも部分的に取り囲む。カバーリング126はデポジションリング128の少なくとも一部分を取り囲んでカバーし、デポジションリング128及び下の支持体130の両者へのパーティクルの堆積を少なくする。
スパッタリングガスなどのプロセスガスは、一定流量のガスを通すために、マスフローコントローラなどのガス流量制御バルブ178を有する導管176の各々にガス供給する1つ以上のガス源174を含むプロセスガス供給源を含むガス分配システム112を介してチャンバ106に導入される。導管176は、所望のプロセスガスの組成を形成するために、ガスが混合されるミキシングマニフォールド(図示せず)にガスを供給する。ミキシングマニフォールドは、1つ以上のチャンバ106内へのガス出力口182を有するガスディストリビュータ180にガス供給する。プロセスガスは、ターゲットに励起的に衝突し、ターゲットから材料をスパッタリングすることができる、アルゴン又はキセノンなどの不活性ガスを含む。また、プロセスガスは、基板104上に層を形成するためにスパッタされた材料と反応することができる、酸素含有ガスおよび窒素含有ガスの少なくとも1つなどの活性ガスを含む。使用されたプロセスガス及び副産物は、使用されたプロセスガスを受け、その使用されたプロセスガスを、チャンバ106内のガスの圧力を制御するスロットルバルブ188を有した排気導管186に流す、1つ以上の排出ポート184を含む排出部122を通してチャンバ106から排出される。排気導管186は1つ以上の排出ポンプ190にガス排出する。典型的には、チャンバ106内のスパッタリングガスの圧力は大気圧レベル以下にセットされる。
更に、スパッタリングチャンバ106は、基板104の表面105に対峙し、基板104上にスパッタされるべき材料を含むスパッタリングターゲット124を含む。ターゲット124は円管状のインシュレーターリング132によりチャンバ106から電気的に絶縁されており、電源192に接続されている。また、スパッタリングチャンバ106はスパッタされた材料からチャンバ106の壁118を保護するためのシールド120を備えている。シールド120はチャンバ106の上側及び下側の領域をシールドする、上側の及び下側のシールドセクション120a、120bを有する壁状の円筒形状の形を含む。図4に示される実施形態において、シールド120はサポートリング132に搭載された上側部分120a及びカバーリング126に取り付けられた下側セクション120bを有する。クランピングリングを含むクランプシールド141は上側及び下側のシールドセクション120a、bを共にクランプするよう設けられうる。内側及び外側のシールドなどのような別のシールドの構成も考えられうる。一実施形態において、電源192、ターゲット124、及びシールド120の1つ若しくはそれ以上は、ターゲット124からの材料をスパッタするスパッタリングガスを活性化することができるガスエナジャイザー116として動作する。電源192はシールド120に対してターゲット124へのバイアス電源を供給する。印加された電圧によりチャンバ106内に生成される電界は、スパッタリングガスを活性化し、ターゲット124に励起的に衝突するプラズマを生成せしめ、ターゲット124から材料をスパッタし、基板104上に堆積せしめる。また、電極170及び支持電極電源172を有する支持体130は、ターゲット124からスパッタされたイオン化された材料を基板104の方向に活性化し、加速することにより、ガスエナジャイザー116の部分として動作する。更に、ガス励起コイル135が電源192により電源供給され、チャンバ106内に位置して、改善された活性化ガスの濃度などのより励起された活性化ガスの特性をもたらす。ガス励起コイル135は、チャンバ106内のシールド120若しくは他の壁に取り付けられたコイルサポート137により支持されうる。
チャンバ106は、チャンバ106内で基板104を処理するためにチャンバ106のコンポーネントを動作せしめる命令セットを有するプログラムコードを含むコントローラ194により制御される。例えば、コントローラ194はチャンバ106内に基板104を位置せしめるために、基板支持体130及び基板搬送部の少なくとも1つを動作せしめるための基板位置決め命令セットと、チャンバ106へのスパッタリングガスの流量をセットするための流量制御バルブ178を動作せしめるガス流量制御命令セットと、チャンバ106内の圧力を維持するために排出スロットルバルブ188を動作せしめるガス圧力制御命令セットと、ガス活性化パワーレベルをセットするためガスエナジャイザー116を動作せしめるガスエナジャイザー制御命令セットと、チャンバ106内の温度を制御する温度制御命令セットと、及びチャンバ100内のプロセスをモニタするプロセスモニタリング命令セットを含む。
本発明の例示的な実施形態が示され記述されてきたが、当該技術分野における当業者は本発明を組み込んだ他の実施形態を成しうるかもしれないが、それは本発明の範囲内である。例えば、本明細書に記述された例示的なコンポーネント以外のチャンバコンポーネントも同様に洗浄されうる。また、他の熱溶射器400の構造及び実施形態が用いられうるし、本明細書に記載された以外のコーティング及び構造の組成が用いられうる。ここに記載された以外の追加的なクリーニングのステップが行われ得、そのクリーニングステップはここに記述された以外の順番によりなされうる。更に、例示的な実施形態に関して示された相対的若しくは位置的な条件は相互交換可能である。従って、添付の請求の範囲は、本発明を説明するために本明細書において記載されたより好ましい実施形態、材料若しくは空間的な配置の記述に制限されるべきではない。
本発明のこれらの特長、特質及び効果は、本発明の例を図説する詳細な説明、特許請求の範囲、添付図面に関連して、よりよく理解されうる。しかしながら、それらの特長の各特長は本発明において一般に使用されうるものであり、特定の図面の中のみに用いられるものではなく、本発明はこれらの特長の組み合わせをも含むことを理解されるべきである。
第1及び第2のコーティング層を有するプロセスチャンバのコンポーネントの一実施形態の部分断面側面図である。 コンポーネント上にコーティング形成することができる熱溶射器の一実施形態の部分概略図である。 異なる平均表面粗さの範囲を有するコーティング層を形成することができる熱溶射器ノズルの一実施形態を示す部分断面側面図及びオフセット平面図である。 基板処理チャンバの一実施形態の部分断面側面図である。

Claims (12)

  1. (a)下地の構造と、
    (b)前記下地の構造の上にあり、約25マイクロメーター未満の平均表面粗さを有する第1の表面を含む第1のコーティング層と、
    (c)前記第1のコーティング層の上にあり、少なくとも約50マイクロメーターの平均表面粗さを有する第2の表面を含む第2のコーティング層とを含み、
    処理される基板のコンタミネーションを少なくするために、プロセスの残渣物が前記第2の表面に付着させるプロセスチャンバ内で活性化されたガスに露出しうる基板プロセスチャンバのコンポーネント。
  2. (1)溶射されたアルミニウムのコーティング層を含む前記第1及び第2のコーティング層と、
    (2) アルミニウム、チタン、タンタル、ステンレススティール、銅及びクロムのうちの少なくとも1つを含む下地の構造の少なくとも1つを含む請求項1記載のコンポーネント。
  3. (1)約10%未満のポロシティを含む前記第1のコーティング層と
    (2)少なくとも約12%未満のポロシティを含む前記第2のコーティング層と、
    (3)少なくとも約15%のポロシティを含む前記第2のコーティング層の少なくとも1つを含む請求項1記載のコンポーネント。
  4. 前記第1のコーティング層は約0.1mmから約0.25mmの厚さを有し、前記第2のコーティング層は約0.15mmから約0.3mmの厚さを有する請求項1記載のコンポーネント。
  5. 前記コンポーネントはチャンバの包囲壁、シールド、プロセスキット、基板支持体、ガス分配システム、ガスエナジャイザー、及びガス排出口のうちの少なくとも一部分を含む請求項1記載のコンポーネント。
  6. 請求項1記載のコンポーネントを含み、基板支持体、ガス分配システム、ガスエナジャイザー、及びガス排出部を含む基板処理チャンバ。
  7. (a)下地の構造を提供し、
    (b)約25マイクロメーター未満の平均表面粗さを有する第1のコーティング層の上に第1の表面を形成するために、第1の溶射パラメータを維持しながら、前記下地の構造状の上に前記第1のコーティング層を溶射し、
    (c)少なくとも約50マイクロメーターの平均表面粗さを有する第2のコーティング層上に第2の表面を形成するために、第2の溶射パラメータを維持しながら、前記第1のコーティング層の上に第2のコーティング層を溶射することを含む基板処理チャンバのコンポーネントを製造するための方法。
  8. (b)及び(c)は加圧ガスによりノズルを通してコーティング材料を溶射することを含み、前記ノズルは、ノズルの入口の所での直径の大きさの少なくとも約1.5倍の大きさの直径をノズルの出口の所で有する円錐形状の流路を含む請求項7記載の方法。
  9. (b)は少なくとも約200kPaの第1の圧力により前記ノズルを介してコーティング材料を溶射することを含み、(c)は前記第1の圧力より低い第2の圧力により同じノズルを介してコーティング材料を溶射することを含み、前記第2の圧力は約175kPa未満である請求項8記載の方法。
  10. (a) 電気的アークを生成するためにバイアスされうることができる第1及び第2の電極であって、前記電極のうちの少なくとも1つは消耗可能な電極を含む第1及び第2の電極と、
    (b) 前記電極を通る加圧ガスを方向付けるための加圧ガスの供給源と、
    (c) 前記加圧されたガスが流れるノズルとを含む構造の上にコーティングを形成することができるツインワイアーアーク溶射器であって、
    前記ノズルは、
    (i)前記加圧されたガスを受ける導管と、
    (ii)前記導管に取り付けられた入口及び前記加圧されたガスを放出する出口を有する円錐形状部分であって、前記円錐形状部分は前記入口から前記出口の方向に外側に広がる傾斜を有する円錐形状の側壁を含み、前記入口は第1の直径を有し、前記出口は第2の直径を有し、前記第2の直径は少なくとも前記第1の直径の大きさの1.5倍である円錐形状部分とを含み、前記ノズルを通る加圧されたガスの圧力は前記コーティングの所定の表面粗さの平均をもたらすために選択され、
    前記消耗可能な電極は溶融材料を形成するために電気的アークにより少なくとも部分的に溶融され、溶融された材料はコーティングを形成するために前記構造の上に前記ノズルを介して加圧されたガスにより溶射されるツインワイアーアーク溶射器。
  11. 前記傾斜を有する円錐形状の側壁は約60度から約120の角度をなす請求項10記載のツインワイヤーアーク溶射器。
  12. 前記第1の直径は約5mmから約23mmであり、前記第2の直径は約20mmから約35mmである請求項10記載のツインワイヤーアーク溶射器。
JP2007543282A 2004-11-24 2005-11-18 層状にコーティングされたプロセスチャンバのコンポーネント及び方法 Active JP5058816B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/996,883 2004-11-24
US10/996,883 US7579067B2 (en) 2004-11-24 2004-11-24 Process chamber component with layered coating and method
PCT/US2005/041862 WO2006073585A2 (en) 2004-11-24 2005-11-18 Process chamber component with layered coating and method

Publications (2)

Publication Number Publication Date
JP2008522031A true JP2008522031A (ja) 2008-06-26
JP5058816B2 JP5058816B2 (ja) 2012-10-24

Family

ID=36461277

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007543282A Active JP5058816B2 (ja) 2004-11-24 2005-11-18 層状にコーティングされたプロセスチャンバのコンポーネント及び方法

Country Status (7)

Country Link
US (2) US7579067B2 (ja)
EP (1) EP1815038B1 (ja)
JP (1) JP5058816B2 (ja)
KR (2) KR101281708B1 (ja)
CN (1) CN101065510B (ja)
TW (2) TWI326315B (ja)
WO (1) WO2006073585A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012501387A (ja) * 2008-08-28 2012-01-19 アプライド マテリアルズ インコーポレイテッド プロセスキット・シールド及びその使用方法
US8668815B2 (en) 2008-05-02 2014-03-11 Applied Materials, Inc. Process kit for RF physical vapor deposition
JP2014196563A (ja) * 2007-01-29 2014-10-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理チャンバ用処理キット
KR101790394B1 (ko) * 2014-06-11 2017-10-26 (주)코미코 박막 증착 장치용 내부재 및 이의 제조 방법

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7910218B2 (en) 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20060292310A1 (en) * 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US20070065597A1 (en) * 2005-09-15 2007-03-22 Asm Japan K.K. Plasma CVD film formation apparatus provided with mask
US20070125646A1 (en) 2005-11-25 2007-06-07 Applied Materials, Inc. Sputtering target for titanium sputtering chamber
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
WO2008049460A1 (de) * 2006-10-24 2008-05-02 Siemens Aktiengesellschaft Verfahren zur einstellung der oberflächenrauhigkeit bei niedertemperaturbeschichtungsverfahren und bauteil
KR101504085B1 (ko) * 2006-12-19 2015-03-19 어플라이드 머티어리얼스, 인코포레이티드 비접촉 프로세스 키트
US8221602B2 (en) * 2006-12-19 2012-07-17 Applied Materials, Inc. Non-contact process kit
US20100107982A1 (en) * 2007-03-22 2010-05-06 Kabushiki Kaisha Toshiba Vacuum deposition apparatus part and vacuum deposition apparatus using the part
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP5567486B2 (ja) * 2007-10-31 2014-08-06 ラム リサーチ コーポレーション 窒化シリコン−二酸化シリコン高寿命消耗プラズマ処理構成部品
JP2009212293A (ja) * 2008-03-04 2009-09-17 Tokyo Electron Ltd 基板処理装置用の部品及び基板処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
MY152991A (en) * 2008-04-30 2014-12-31 Ulvac Inc Method for the production of water-reactive al film and constituent member for film-forming chamber
JP5415853B2 (ja) 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
US20110036709A1 (en) * 2009-08-11 2011-02-17 Applied Materials, Inc. Process kit for rf physical vapor deposition
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9834840B2 (en) 2010-05-14 2017-12-05 Applied Materials, Inc. Process kit shield for improved particle reduction
CN102465248B (zh) * 2010-11-16 2014-01-08 无锡华润上华半导体有限公司 防护罩的表面处理方法及防护罩
IL213533A (en) * 2011-06-14 2015-11-30 Leo Mendelovici Process for Thermal Spraying of Protective and Porous Metallic Coating on Finishing Materials of Machine Parts for Splicing Thin Layers
US10276410B2 (en) * 2011-11-25 2019-04-30 Nhk Spring Co., Ltd. Substrate support device
US8734907B2 (en) 2012-02-02 2014-05-27 Sematech, Inc. Coating of shield surfaces in deposition systems
US8734586B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems
DE102012105607A1 (de) * 2012-06-27 2014-01-02 Martinrea Honsel Germany Gmbh Verfahren zur Herstellung von Komposit-Spritzschichten auf Zylinderlaufflächen von Zylinderkurbelgehäusen
KR101876522B1 (ko) * 2012-08-08 2018-07-09 주식회사 원익아이피에스 기판 셔틀 장치, 이를 포함하는 기상 증착 장치 및 기판 셔틀 장치의 제조방법
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
US9337002B2 (en) 2013-03-12 2016-05-10 Lam Research Corporation Corrosion resistant aluminum coating on plasma chamber components
US20140272341A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Thermal treated sandwich structure layer to improve adhesive strength
US10209016B2 (en) 2013-03-22 2019-02-19 Toyota Motor Engineering & Manufacturing North America, Inc. Thermal energy guiding systems including anisotropic thermal guiding coatings and methods for fabricating the same
CN103572278A (zh) * 2013-10-21 2014-02-12 黄宣斐 一种铝基表面材料制造方法
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
WO2015190752A1 (ko) * 2014-06-11 2015-12-17 (주) 코미코 박막 증착 장치용 내부재 및 이의 제조 방법
KR101942011B1 (ko) * 2014-06-13 2019-01-25 어플라이드 머티어리얼스, 인코포레이티드 더 우수한 균일성 및 증가된 에지 수명을 위한 편평한 에지 설계
US20160168687A1 (en) * 2014-12-14 2016-06-16 Applied Materials, Inc. Particle reduction in a deposition chamber using thermal expansion coefficient compatible coating
WO2016095086A1 (en) * 2014-12-15 2016-06-23 Applied Materials, Inc. Methods for texturing a chamber component and chamber components having a textured surface
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
KR20180024021A (ko) * 2015-07-23 2018-03-07 허니웰 인터내셔널 인코포레이티드 개선된 스퍼터링 코일 제품 및 제조 방법
US10655212B2 (en) 2016-12-15 2020-05-19 Honeywell Internatonal Inc Sputter trap having multimodal particle size distribution
US10662520B2 (en) * 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
US10998172B2 (en) 2017-09-22 2021-05-04 Applied Materials, Inc. Substrate processing chamber having improved process volume sealing
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11810766B2 (en) * 2018-07-05 2023-11-07 Applied Materials, Inc. Protection of aluminum process chamber components
US11239058B2 (en) 2018-07-11 2022-02-01 Applied Materials, Inc. Protective layers for processing chamber components
CN113243040A (zh) * 2018-12-13 2021-08-10 朗姆研究公司 用于工件处理室的组成零件的多层涂层
JP7361497B2 (ja) * 2019-05-28 2023-10-16 東京エレクトロン株式会社 成膜装置
KR102241674B1 (ko) * 2019-08-29 2021-04-19 삼원테크노 주식회사 선박 배기가스 정화 스크러버용 강판의 코팅방법
JP7403882B2 (ja) * 2019-12-24 2023-12-25 ブイセンス メディカル エルエルシー 分析物検知システム、及び分析物検知システムのカートリッジ
CN113594014B (zh) * 2020-04-30 2024-04-12 中微半导体设备(上海)股份有限公司 零部件、等离子体反应装置及零部件加工方法
US11450514B1 (en) 2021-03-17 2022-09-20 Applied Materials, Inc. Methods of reducing particles in a physical vapor deposition (PVD) chamber
CN113088864B (zh) * 2021-04-13 2022-11-29 宁波大学 一种电场辅助电弧喷涂装置及方法
CN117897794A (zh) * 2021-08-19 2024-04-16 朗姆研究公司 经处理的陶瓷室部件
US20230290615A1 (en) * 2022-03-10 2023-09-14 Applied Materials, Inc. Multilayer coating for corrosion resistance
US20230416913A1 (en) * 2022-06-28 2023-12-28 Entegris, Inc. Modules for delivery systems and related methods
CN116904953A (zh) * 2023-09-14 2023-10-20 上海陛通半导体能源科技股份有限公司 一种气相沉积设备

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030185965A1 (en) * 2002-03-27 2003-10-02 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP2007105721A (ja) * 2005-07-29 2007-04-26 Boc Group Inc:The ツインワイヤーアークスプレーコーティングの施用のための方法及び装置

Family Cites Families (128)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2705500A (en) 1953-11-04 1955-04-05 Leon L Deer Cleaning aluminum
US2935788A (en) * 1957-05-07 1960-05-10 Jacob L Kleinman Electrically operated dry shaving implements
US2931099A (en) * 1958-04-17 1960-04-05 Samuel D Schell Electric razor having an oscillating tapered blade
US2977677A (en) * 1959-01-28 1961-04-04 George A Tice Electric razor for shaving
US3092904A (en) * 1960-05-09 1963-06-11 Bruecker John Movable cutter for a dry shaver having saw tooth design cutting edge
US3028668A (en) * 1960-08-16 1962-04-10 Dechaux Charles Dry shaver with rocking cutter
US3117883A (en) 1960-09-23 1964-01-14 Glidden Co Pigment for aqueous latex emulsion paints
US3457151A (en) 1966-10-27 1969-07-22 Solutec Corp Electrolytic cleaning method
US3565771A (en) 1967-10-16 1971-02-23 Shipley Co Etching and metal plating silicon containing aluminum alloys
US3522083A (en) 1967-11-03 1970-07-28 Grace W R & Co Phosphonitrilic laminating and molding resins
US3453909A (en) * 1968-03-27 1969-07-08 Victor Yager Shear plate and screen for dry shaver
US3493793A (en) * 1968-07-05 1970-02-03 Oster Mfg Co John Hair clipper having oscillating armature motor
US3679460A (en) 1970-10-08 1972-07-25 Union Carbide Corp Composite wear resistant material and method of making same
USRE31198E (en) 1974-02-14 1983-04-05 Amchem Products, Inc. Method for cleaning aluminum at low temperatures
US4105493A (en) * 1975-07-05 1978-08-08 The Gillette Company Production of shaving foil
US4100252A (en) 1976-04-26 1978-07-11 Engelhard Minerals & Chemicals Corporation Metal extraction process
US4133103A (en) * 1977-11-04 1979-01-09 Sunbeam Corporation Comb assembly for an electric dry shaver
US4150482A (en) * 1977-11-14 1979-04-24 Sunbeam Corporation Modular cutter assembly for an electric dry shaver
NL7713047A (nl) * 1977-11-28 1979-05-30 Philips Nv Scheerapparaat.
GB2057333B (en) * 1979-08-07 1982-12-15 Matsushita Electric Works Ltd Shaving blade assembly
US4419201A (en) 1981-08-24 1983-12-06 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
NL8200101A (nl) * 1982-01-13 1983-08-01 Philips Nv Scheerapparaat.
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JPS59177089A (ja) * 1983-03-28 1984-10-06 松下電工株式会社 電気カミソリ
FR2562097A1 (fr) 1984-03-28 1985-10-04 Andritz Ag Maschf Procede pour le decapage d'aciers allies, de cuivre, d'alliages de metaux lourds non-ferreux, de titane, de zirconium, de tantale, etc. au moyen de bains d'acide nitrique
JPH0676652B2 (ja) 1984-10-08 1994-09-28 キヤノン株式会社 真空装置用構造材の表面処理方法
JPS61146717A (ja) 1984-12-18 1986-07-04 Sumitomo Chem Co Ltd タンタルの精製方法
JPH0655742B2 (ja) * 1985-02-13 1994-07-27 住友化学工業株式会社 アセチレンカ−バミド誘導体およびこれを有効成分とする有機物質用安定剤
FR2578455B1 (fr) 1985-03-08 1987-05-07 Lami Philippe Ensemble destine a redonner les conditions initiales de proprete dans un tube de quartz utilise comme chambre de reaction pour la fabrication des circuits integres
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
US4713119A (en) 1986-03-20 1987-12-15 Stauffer Chemical Company Process for removing alkali metal aluminum silicate scale deposits from surfaces of chemical process equipment
US4684447A (en) 1986-03-24 1987-08-04 Conoco Inc. Method for applying protective coatings
NL8700187A (nl) * 1987-01-27 1988-08-16 Philips Nv Knipeenheid voor een scheerapparaat.
US5009966A (en) 1987-12-31 1991-04-23 Diwakar Garg Hard outer coatings deposited on titanium or titanium alloys
US5356890A (en) * 1988-06-15 1994-10-18 Brigham And Women's Hospital S-nitroso derivatives of ace inhibitors and the use thereof
US5032469A (en) 1988-09-06 1991-07-16 Battelle Memorial Institute Metal alloy coatings and methods for applying
US4959105A (en) 1988-09-30 1990-09-25 Fred Neidiffer Aluminium cleaning composition and process
IT1235332B (it) 1989-06-05 1992-06-26 Diaprint S P A Granitura elettrochimica di superfici in alluminio o in lega di alluminio
JPH0317288A (ja) 1989-06-13 1991-01-25 Daicel Chem Ind Ltd スタンパー用電解洗浄液
EP0406690B1 (en) 1989-06-28 1997-03-12 Canon Kabushiki Kaisha Process for continuously forming a large area functional deposited film by microwave PCVD method and an apparatus suitable for practicing the same
US5130170A (en) 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5338367A (en) 1989-07-26 1994-08-16 Ugine, Aciers De Chatillon Et Gueugnon Pickling process in an acid bath of metallic products containing titanium or at least one chemical element of the titanium family
US5180563A (en) 1989-10-24 1993-01-19 Gte Products Corporation Treatment of industrial wastes
FR2657888B1 (fr) 1990-02-08 1994-04-15 Ugine Aciers Procedes de decapage de materiaux en acier inoxydable.
US5202008A (en) 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
JPH071675B2 (ja) 1990-08-22 1995-01-11 大日本スクリーン製造株式会社 シャドウマスクの製造方法及びシャドウマスク板材
AT395125B (de) * 1991-01-18 1992-09-25 Philips Nv Elektrisches trockenrasiergeraet
US5215624A (en) 1991-02-08 1993-06-01 Aluminum Company Of America Milling solution and method
US5248386A (en) 1991-02-08 1993-09-28 Aluminum Company Of America Milling solution and method
DE69222129T2 (de) 1991-12-18 1998-04-09 Sumitomo Metal Ind Automobilkarrosserieblech aus mehrfach beschichteter Aluminiumplatte
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5401319A (en) 1992-08-27 1995-03-28 Applied Materials, Inc. Lid and door for a vacuum chamber and pretreatment therefor
US5630314A (en) 1992-09-10 1997-05-20 Hitachi, Ltd. Thermal stress relaxation type ceramic coated heat-resistant element
US6338906B1 (en) 1992-09-17 2002-01-15 Coorstek, Inc. Metal-infiltrated ceramic seal
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
EP0634756B1 (en) 1993-07-16 1998-09-30 Kabushiki Kaisha Toshiba Metal oxide resistor, power resistor, and power circuit breaker
JP3402368B2 (ja) 1993-12-27 2003-05-06 アクファーガヴェルト・アクチェンゲゼルシャフト 親水性の層を疎水性の支持体に適用するための熱処理法およびかくして塗被された支持体のオフセット印刷版の支持体としての使用
US5474649A (en) 1994-03-08 1995-12-12 Applied Materials, Inc. Plasma processing apparatus employing a textured focus ring
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
DE4413352C1 (de) * 1994-04-18 1995-05-04 Braun Ag Verfahren zur Herstellung eines Messers für eine Schneideinrichtung eines elektrischen Rasierapparates oder Bartschneiders
US5660640A (en) 1995-06-16 1997-08-26 Joray Corporation Method of removing sputter deposition from components of vacuum deposition equipment
EP0803900A3 (en) 1996-04-26 1999-12-29 Applied Materials, Inc. Surface preparation to enhance the adhesion of a dielectric layer
US6120621A (en) 1996-07-08 2000-09-19 Alcan International Limited Cast aluminum alloy for can stock and process for producing the alloy
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5916454A (en) 1996-08-30 1999-06-29 Lam Research Corporation Methods and apparatus for reducing byproduct particle generation in a plasma processing chamber
US6007673A (en) 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
SG54602A1 (en) 1996-11-26 1998-11-16 Applied Materials Inc Coated deposition chamber equipment
US5939146A (en) 1996-12-11 1999-08-17 The Regents Of The University Of California Method for thermal spraying of nanocrystalline coatings and materials for the same
US6152071A (en) 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5808270A (en) 1997-02-14 1998-09-15 Ford Global Technologies, Inc. Plasma transferred wire arc thermal spray apparatus and method
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US6032365A (en) * 1997-02-24 2000-03-07 James L. Hodges Slotted rotary shaver
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
DE19719133C2 (de) 1997-05-07 1999-09-02 Heraeus Quarzglas Glocke aus Quarzglas und Verfahren für ihre Herstellung
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5901446A (en) * 1997-09-15 1999-05-11 Remington Corporation, L.L.C. Long hair cutting and beard lifting foil construction
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6306498B1 (en) 1997-12-22 2001-10-23 Asahi Kasei Kabushiki Kaisha Fibers for electric flocking and electrically flocked article
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
USH2087H1 (en) 1998-05-19 2003-11-04 H. C. Starck, Inc. Pickling of refractory metals
US6323055B1 (en) 1998-05-27 2001-11-27 The Alta Group, Inc. Tantalum sputtering target and method of manufacture
SE512978C2 (sv) 1998-10-26 2000-06-12 G S G As Bearbetning av niob-och tantalinnehållande material
EP1049133A3 (en) 1999-04-30 2001-05-16 Applied Materials, Inc. Enhancing adhesion of deposits on exposed surfaces in process chamber
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
KR100613919B1 (ko) 1999-07-26 2006-08-18 동경 엘렉트론 주식회사 기판세정구, 기판세정장치 및 기판세정방법
JP2002181050A (ja) 2000-03-16 2002-06-26 Nsk Ltd 転がり摺動部材とその製造方法及び転がり摺動ユニット
US6394023B1 (en) 2000-03-27 2002-05-28 Applied Materials, Inc. Process kit parts and method for using same
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
WO2002015255A1 (en) 2000-08-11 2002-02-21 Chem Trace Corporation System and method for cleaning semiconductor fabrication equipment parts
US6383459B1 (en) 2000-08-31 2002-05-07 Osram Sylvania Inc. Method for purifying a tantalum compound using a fluoride compound and sulfuric acid
US6601302B2 (en) * 2000-09-08 2003-08-05 Remington Corporation, L.L.C. Shaving systems and adjustable trimmers therefor
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6638366B2 (en) 2001-05-15 2003-10-28 Northrop Grumman Corporation Automated spray cleaning apparatus for semiconductor wafers
US6777045B2 (en) 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US6974640B2 (en) 2001-07-09 2005-12-13 The University Of Connecticut Duplex coatings and bulk materials, and methods of manufacture thereof
US20030047464A1 (en) 2001-07-27 2003-03-13 Applied Materials, Inc. Electrochemically roughened aluminum semiconductor processing apparatus surfaces
WO2003033221A1 (en) * 2001-10-15 2003-04-24 Remington Corporation, L.L.C. Cutting foil for rotary shavers and manufacturing methods for producing same
US6454870B1 (en) 2001-11-26 2002-09-24 General Electric Co. Chemical removal of a chromium oxide coating from an article
US6656535B2 (en) * 2001-12-21 2003-12-02 Applied Materials, Inc Method of fabricating a coated process chamber component
US6899798B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Reusable ceramic-comprising component which includes a scrificial surface layer
US6821350B2 (en) 2002-01-23 2004-11-23 Applied Materials, Inc. Cleaning process residues on a process chamber component
US7146991B2 (en) 2002-01-23 2006-12-12 Cinetic Automation Corporation Parts washer system
US20040048876A1 (en) * 2002-02-20 2004-03-11 Pfizer Inc. Ziprasidone composition and synthetic controls
US20030170486A1 (en) 2002-03-08 2003-09-11 David Austin Copper clad aluminum strips and a process for making copper clad aluminum strips
US6812471B2 (en) 2002-03-13 2004-11-02 Applied Materials, Inc. Method of surface texturizing
US6933508B2 (en) 2002-03-13 2005-08-23 Applied Materials, Inc. Method of surface texturizing
US7041200B2 (en) * 2002-04-19 2006-05-09 Applied Materials, Inc. Reducing particle generation during sputter deposition
US6565984B1 (en) 2002-05-28 2003-05-20 Applied Materials Inc. Clean aluminum alloy for semiconductor processing equipment
WO2003101762A1 (en) 2002-05-28 2003-12-11 Advanced Technology Materials, Inc. Process for cleaning and repassivating semiconductor equipment parts
US6902628B2 (en) 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
FR2847719B1 (fr) * 2002-11-25 2005-03-11 Cit Alcatel Cellule solaire pour panneau de generateur solaire, panneau de generateur solaire et vehicule spatial
JP2004232016A (ja) * 2003-01-30 2004-08-19 Toshiba Corp 真空成膜装置用部品およびそれを用いた真空成膜装置
US20060105182A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US20050048876A1 (en) 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
US20050238807A1 (en) * 2004-04-27 2005-10-27 Applied Materials, Inc. Refurbishment of a coated chamber component
US7579067B2 (en) 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US20060292310A1 (en) * 2005-06-27 2006-12-28 Applied Materials, Inc. Process kit design to reduce particle generation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030185965A1 (en) * 2002-03-27 2003-10-02 Applied Materials, Inc. Evaluation of chamber components having textured coatings
JP2007105721A (ja) * 2005-07-29 2007-04-26 Boc Group Inc:The ツインワイヤーアークスプレーコーティングの施用のための方法及び装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014196563A (ja) * 2007-01-29 2014-10-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板処理チャンバ用処理キット
US8668815B2 (en) 2008-05-02 2014-03-11 Applied Materials, Inc. Process kit for RF physical vapor deposition
US9123511B2 (en) 2008-05-02 2015-09-01 Applied Materials, Inc. Process kit for RF physical vapor deposition
JP2012501387A (ja) * 2008-08-28 2012-01-19 アプライド マテリアルズ インコーポレイテッド プロセスキット・シールド及びその使用方法
KR101790394B1 (ko) * 2014-06-11 2017-10-26 (주)코미코 박막 증착 장치용 내부재 및 이의 제조 방법
US9963772B2 (en) 2014-06-11 2018-05-08 Komico Co., Ltd. Interior material for thin film deposition device and method for manufacturing same

Also Published As

Publication number Publication date
US7579067B2 (en) 2009-08-25
TWI326314B (en) 2010-06-21
WO2006073585A2 (en) 2006-07-13
JP5058816B2 (ja) 2012-10-24
TW200932953A (en) 2009-08-01
TWI326315B (en) 2010-06-21
CN101065510A (zh) 2007-10-31
KR20130018957A (ko) 2013-02-25
CN101065510B (zh) 2011-04-06
US20060110620A1 (en) 2006-05-25
US8021743B2 (en) 2011-09-20
KR20070089955A (ko) 2007-09-04
WO2006073585A3 (en) 2006-09-08
US20100086805A1 (en) 2010-04-08
EP1815038B1 (en) 2017-03-01
TW200619421A (en) 2006-06-16
EP1815038A2 (en) 2007-08-08
KR101281708B1 (ko) 2013-07-03
KR101274057B1 (ko) 2013-06-12

Similar Documents

Publication Publication Date Title
JP5058816B2 (ja) 層状にコーティングされたプロセスチャンバのコンポーネント及び方法
US7910218B2 (en) Cleaning and refurbishing chamber components having metal coatings
US7993470B2 (en) Fabricating and cleaning chamber components having textured surfaces
US6656535B2 (en) Method of fabricating a coated process chamber component
US7026009B2 (en) Evaluation of chamber components having textured coatings
US20060105182A1 (en) Erosion resistant textured chamber surface
JP2005317974A (ja) 被覆されたチャンバコンポーネントの磨き直し
JP2004525517A (ja) 半導体処理装置内の酸化セリウムを含有するセラミック構成部品及び被膜
EP1524682B1 (en) Component for vacuum apparatus, production method thereof and apparatus using the same
US20140102369A1 (en) Plasma sprayed deposition ring isolator
JP2004285471A (ja) アーク噴霧コーティングアプリケーション及び機能を容易にするハードウェア・フィーチャーの設計

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111101

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120201

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120208

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120301

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120308

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120401

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120703

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120801

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150810

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5058816

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250